CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 乘法器

搜索资源列表

  1. 5438mpy

    0下载:
  2. msp430单片机最新的产品MSP430F5438内部硬件乘法器的操作的示例程序-MSP430 Product latest single-chip hardware multiplier MSP430F5438 internal operation of the sample programs
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:11567
    • 提供者:陈杰
  1. VHDL_exmple

    0下载:
  2. VHDL编程一百例,包括加法器、乘法器、移位寄存器、奇偶校验器等。pdf格式的,仅供学习使用-VHDL Programming 100 cases, including the adder, multiplier, shift register, parity, etc.. pdf format, for learning to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6634283
    • 提供者:
  1. ff_mul

    0下载:
  2. 伽勒华域乘法器用于RS编码中,用verilogHDL语言实现-Galle Hua domain multiplier for RS encoding, the implementation language used verilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1014
    • 提供者:dahai
  1. ex

    0下载:
  2. 用HDPLD实现的高速并行乘法器,其输入为两个带符号位的4位二进制数- HDPLD implementation with high-speed parallel multiplier, the input symbols with two 4-bit binary number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:41394
    • 提供者:庞永亮
  1. Boothmultiplier

    0下载:
  2. 布斯乘法器的语言描述功能违反外 暗暗达到-Booth multiplier described in the language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1553
    • 提供者:毛进
  1. Mars_EP1C6F_fundemantal_demo

    0下载:
  2. FPGA 开发板源码。芯片为Mars EP1C6F.VHDL语言。可实现一些基本的功能。如乘法器、加法器、多路选择器等。-FPGA development board source. Chips for the Mars EP1C6F.VHDL language. Can achieve some of the basic functions. Such as multiplier, adder, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1099245
    • 提供者:chenlu
  1. multipilier8x8_spice

    0下载:
  2. 用spice描述的8x8改进Booth码加wallance压缩的乘法器,并且进行了优化,时间性能相当高-the improved Booth coding plus wallance multipliler ,I have optimized it which gained short time and performance,it is descr ipted by spice
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:22670
    • 提供者:尤夫子
  1. Multiplexer

    0下载:
  2. 这是一个用vhdl硬件描述语言实现的乘法器而不是多路选择器-this is an implimentation of an multiplier rather than multiplexer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:145890
    • 提供者:maxpayne
  1. multi

    0下载:
  2. 实现了三种乘法器,可以进行性能比较,比较有较之-multi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:22999
    • 提供者:陈一可
  1. GF_MUL

    0下载:
  2. Galois域乘法器的Verilog源码 广泛用于信道编码、计算机代数及椭圆曲线加密等-Galois field multipliers are widely used in the Verilog source channel coding, computer algebra and elliptic curve encryption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-10
    • 文件大小:1333
    • 提供者:李映波
  1. EPM1270_multiplier

    0下载:
  2. VHDL 乘法器 源代码,很好的VHDL 入门学习例程序-Multiplier VHDL source code, a good learning example VHDL entry procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:186710
    • 提供者:王新明
  1. 0

    0下载:
  2. 用vhdl语言实现4位乘法器,已被测试过,可参考使用-Vhdl language with four multipliers, have been tested, may refer to the use of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:853
    • 提供者:lz
  1. adder

    0下载:
  2. 采用加法树流水线乘法构造八位乘法器,并分析设计的性能和结果在时钟节拍上落后的影响因素。 -Multiplication using adder tree structure line 8 multiplier, the design and analysis of the results of the performance and beat the clock on the impact of the factors behind.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1237404
    • 提供者:张炳良
  1. erweiDCT

    0下载:
  2. 用 FPGA实现了二维离散余弦变换和逆变换,结构设计采用行列分解法,乘法器采用移位求和的方法实现,并且采用流水线结构设计,提高处理核的性能-Using FPGA to achieve the two-dimensional discrete cosine transform and inverse transform, the structural design of the use of the ranks of decomposition, the sum of multipliers us
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:129523
    • 提供者:哈哈
  1. Multiplier

    0下载:
  2. 用VHDL语言仿真乘法器设计。能够实现一般乘法运算。-Multiplier using VHDL language design simulation. Multiplication can be achieved in general.
  3. 所属分类:MPI

    • 发布日期:2017-04-26
    • 文件大小:85196
    • 提供者:吴伟
  1. cfq8

    0下载:
  2. VHDL语言编写8位乘法器非常实用语言绝对正确经过仿真的-VHDL language is very practical 8-bit multiplier is absolutely correct language after simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6701
    • 提供者:网保
  1. 3-bit_multiplier

    0下载:
  2. 用ASM原理做二進位3-BIT乘法的乘法器,內附範例的輸入檔。-ASM to do with the principle of binary multiplication of 3-BIT multiplier, the input file containing a sample.
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:888
    • 提供者:沉默劍士
  1. 8-bit_multiplier

    1下载:
  2. 用ASM原理做二進位8-BIT乘法的乘法器,內附範例的輸入檔。-ASM to do with the principle of binary multiplication of 8-BIT multiplier, the input file containing a sample.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:918
    • 提供者:沉默劍士
  1. jiafaqi

    0下载:
  2. EDA条件下乘法器的实现。AHDL语言实现输入显示乘法等功能-EDA under the conditions of the realization of multipliers. AHDL language features such as input showed that multiplication
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:441962
    • 提供者:黄子毅
  1. 8-bit-Multiplier

    0下载:
  2. 一种基于加法器树方法的8为乘法器的VHDL源码,该方法虽然相对占有资源多,但仿真快-VHDLSourceProgramof8-bit-Multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:杨波
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 41 »
搜珍网 www.dssz.com