CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 交通灯 控制器

搜索资源列表

  1. traffic-light-controller-VHDL

    0下载:
  2. vHDL实现 自顶向下的 交通灯控制器 -VHDL program implement for traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1018172
    • 提供者:whb
  1. jtd

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 -The interse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:23928
    • 提供者:何健
  1. AT91SAM3U4E-CoBuilder0.7

    0下载:
  2. 设备: Atmel ATSAM3U4E 评估板: Atmel SAM3U-EK 编译器: CoBuilder V0.7 或 CoIDE V1.0.0 任务: lightTask 每间隔1秒钟,处理所有灯的显示 lightLedTask 当主干道上的交通灯状态改变时,改变3个LED的状态 lcdBlinkyTask 在LCD以直方图的形式上交替地显示红黄绿色 uartprintfTask 每间隔1秒钟,通过串口打印当前的交通状态和LED
  3. 所属分类:SCM

    • 发布日期:2017-11-01
    • 文件大小:255940
    • 提供者:peter
  1. VHDL_JTD

    0下载:
  2. 设计任务要求 控制器部分的状态转移图和流程图;交通灯控制器:用于十字路口的交通灯控制器。实验要求: (1)东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s 。 (2)当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 (3)两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。-Part of the design task requires the controller st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:146654
    • 提供者:zzx
  1. Traffic

    0下载:
  2. 交通灯控制器的Verilog代码,采用了三段式的状态机描述,适合学习和练习,包括了验证代码-A Verilog code of Traffic light controller, using a three-stage state machine descr iption suitable for learning and practice, including the verification code
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:1366
    • 提供者:故都
  1. traffic-light-controller

    0下载:
  2. 单片机嵌入式程序,自主设计交通灯控制器,适用于在校大学生,关于水位控制器的程序。-Microcontroller embedded program, independent design traffic light controller, suitable for college students, water level controller program.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-14
    • 文件大小:8125
    • 提供者:杜琼琼
  1. jiaotongdeng

    0下载:
  2. 基于verilog的交通灯控制器设计 很实用的噢-Controller design based on the the verilog traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:2931
    • 提供者:nanqing
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. EDA

    0下载:
  2. 1、5人表决器;2、乘法器设计;3、交通灯控制器。-1,5 voting machine 2, multiplier design 3, the traffic light controller.
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:137891
    • 提供者:陈派派
  1. EDA

    0下载:
  2. EDA实验课的一个典型实验:交通灯控制器的实现,程序及仿真结果都有,仅供参考。-EDA experimental course of a typical experiment: the realization of the traffic light controller, procedures and simulation results are for reference only.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:432980
    • 提供者:陈坤
  1. traffic_lights

    0下载:
  2.  交通灯控制器控制红(r)、绿(g)、黄(y)三种不同颜色的交通灯,这三种不同颜色灯的亮、灭分别由三个定时器(timer1、timer2、timer3)控制;  当某个定时器工作时,它所控制的交通灯亮,直到设定的定时时间到(该定时器状态由’0’变’1’),交通灯跳转到另一种状态;  clk是脉冲控制端(图中未标出);reset是异步复位端,复位状态为红色交通灯亮;  输出端r、g、y分别表示三种颜色交通灯的亮、灭状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:662
    • 提供者:吴胜兵
  1. traffic

    0下载:
  2. 一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟为1Hz,红灯15s,黄灯5s,绿灯15s。-A simple traffic light controller, traffic lights display module test box to display the traffic lights. System clock selection 1Hz clock module clock, flashing yellow clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1513796
    • 提供者:李建国
  1. jiaotongdeng

    0下载:
  2. FPGA 交通灯控制器 基于fpga 已经仿真验证请放心下载-FPGA traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:303083
    • 提供者:h
  1. traffic-light

    0下载:
  2. 交通灯控制器 (1)主干道通行(绿灯):支干道有车24秒;支路红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。 (2)主干道缓冲(黄灯):6秒,(不显示计数),秒计时的频率为1Hz 。 (3)支路通行(绿灯):20秒,主干道红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。 (4)支路缓冲(黄灯):6秒,不显示,秒计时的频率为1Hz 。 数码管采用动态显示。 其他: (1)晶振为12 MHz (2)采用CPLD 器件,为ALTERA 的EPM706
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:389404
    • 提供者:suyang
  1. traffic-light-controller

    0下载:
  2. 交通灯控制器源程序,使用VHDL编写,在系统上实验通过- The traffic light controller source code, the use of VHDL to write, on the system by experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4332
    • 提供者:康乐
  1. traffic-light-control-verilog-code

    0下载:
  2. 交通灯控制器verilog代码,实现交通灯的控制-traffic light control verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:985
    • 提供者:徐以为
  1. project

    1下载:
  2. 优秀本科毕业设计,太阳能交通灯控制器的设计的全部代码-Outstanding graduate design, solar traffic light controller design all the code
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:52893
    • 提供者:jackonchang
  1. traffic-light-control-system

    0下载:
  2. 基于单片机的交通灯控制系统设计,本系统需要采用MSC-51系列单片机AT89C51作为中心器件来设计交通灯控制器-The design and implementation of MCU to complete traffic light control system
  3. 所属分类:source in ebook

    • 发布日期:2017-05-06
    • 文件大小:1105007
    • 提供者:阿萨德
  1. traffic-lights-design

    0下载:
  2. 交通灯控制器设计(汇编语言) 要求设计的交通灯控制器完成以下功能: ①按照交通灯变化规律控制灯(4组,每组3个)的变化。 ②显示器显示倒计时,最后5秒闪烁。 ③能用键盘修改各个方向红灯/绿灯时间。-Traffic light controller design (assembly language) to design a traffic light controller performs the following functions: ① variation accor
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7453
    • 提供者:程梦婕
  1. jiaotongdeng-

    0下载:
  2. 十字路口交通灯,南北向为主干道,东西向为支道,每个道口安装一组交通灯:红、黄、绿。设计十字路口的交通灯控制器,使交通灯按状态1 状态2 状态3 状态4 的规律变化,循环不止。 状态1:东西向绿灯亮,南北向红灯亮,其他灯全灭,保持30 秒 状态2:东西向黄灯亮,南北向红灯亮,其他灯全灭,保持5 秒 状态3:东西向红灯亮,南北向绿灯亮,其他灯全灭,保持20 秒 状态4:东西向红灯亮,南北向黄灯亮,其他灯全灭,保持5 秒-Crossroads of traffic lights,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6602
    • 提供者:陈卓
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com