CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 交通灯 控制器

搜索资源列表

  1. mcudesign

    3下载:
  2. 单片机设计,毕业设计 16×16点阵(滚动显示)论文+程序 cdma通信系统中的接入信道部分进行仿真与分析 LED显示屏动态显示和远程监控的实现 MCS-51单片机温度控制系统 USB接口设计 毕业设计(论文)OFDM通信系统基带数据 仓库温湿度的监测系统 单片机串行通信发射机 单片机课程设计__电子密码锁报告 单片机控制交通灯 电动智能小车(完整论文 电气工程系06届毕
  3. 所属分类:SCM

    • 发布日期:2014-10-22
    • 文件大小:8663936
    • 提供者:zhangyun
  1. jiaotong

    0下载:
  2. 交通模拟控制器,利用单片机,驱动电路。模拟日常生活中的交通灯实现。-Analog traffic controllers, the use of single-chip microcomputer, driving circuit. Analog daily implementation of the traffic lights.
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:48581
    • 提供者:poi
  1. trafficcontrol

    0下载:
  2. 基于有限状态机的VHDL交通灯程序, 其中包括两种不同的思路;设计两种控制器 读者还可以根据实际应用更改设计,十分方便。-jtd vhdl traffic time control and led display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:7288
    • 提供者:lulin
  1. PLC-Micrologix-light

    0下载:
  2. Micrologix公司PLC控制交通灯梯形图程序,实验设备基于罗克韦尔实验室Microligic1500系列可编程序控制器-Micrologix traffic lights Ladder Company PLC control procedures, laboratory equipment, laboratory-based Rockwell Microligic1500 Series Programmable Logic Controller
  3. 所属分类:transportation applications

    • 发布日期:2017-04-02
    • 文件大小:179669
    • 提供者:jone
  1. traffic

    0下载:
  2. 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器PLC,单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAX+PLUS 集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-Intersection traffic signal systems to ach
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:676
    • 提供者:沈田
  1. trafficcontroller

    0下载:
  2. 该程序为一个路口交通灯的控制器程序,采用VHDL编程,可在FPGA上实现-The program is an intersection traffic light controller program, using VHDL programming can be implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2389828
    • 提供者:姜丹
  1. Traffic_Light_Controller

    0下载:
  2. 该控制器在设计上控制了一个繁忙的高速公路(高速路的红绿灯) 相交一岔路(SRD)等具有相对较轻的交通负荷。图1显示 在交通灯的位置。在十字路口传感器检测汽车的存在 在公路上和岔路。该图意味着,无论是公路和副作用 道路提供每个方向的交通单一车道。这两个普通的道路(红, 黄,绿)信号灯。交集装有一个传感器。-The controller to be designed controls the traffic lights of a busy highway (HWY) inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:16156
    • 提供者:jimmy sia
  1. plc

    1下载:
  2. QSPLC系列可编程控制器实验 数码显示的模拟控制 交通灯的模拟控制(控制过程、I/O分配、控制语句表、梯形图)-QSPLC series programmable controller experimental digital display analog analog control traffic light control (control process, I/O distribution, control statement table, ladder)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:308530
    • 提供者:马琳
  1. lkj

    0下载:
  2. 基于VHDL的两种交通灯信号控制器的设计与比较 -Vhdl based on two of the traffic light signal controller the design and more
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:199003
    • 提供者:陈军红
  1. jiaotongdeng

    0下载:
  2. 并行接口8255,定时/计时器8253,中断控制器8259A与计算机相连,通过8253产生1Hz的方波,并编程得到计时器,然后利用8259的中断功能和8255的并口输出数据功能做了一实时操作系统,来模拟十字路口交通灯的模拟-8255 parallel interface, timer/timer 8253, 8259A interrupt controller connected to a computer, by 8253 produce 1Hz square wave, and progra
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:37927
    • 提供者:王琦
  1. rgy

    0下载:
  2. 交通灯信号控制器用于主干道与支道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通灯切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。-Traffic signal controller to the main road intersection with Bypass Road, requested a priority to ensure the smooth flo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:690
    • 提供者:徐子孑
  1. PLD

    0下载:
  2. 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器和单片机等方案来实现-To achieve control of intersection traffic signal systems are many ways you can use the standard logic devices, programmable logic controllers and other programs to achieve SCM
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:56878
    • 提供者:王大富
  1. 59-Trafic-Lamp

    0下载:
  2. 本系统由单片机系统、键盘、发光二极管、交通灯演示系统组成,单片机作为主控制器用于十字路口的车辆及行人的交通管理,每个方向具有左拐、右拐、直行及行人4种通行指示灯,计时牌显示路口通行转换剩余时间。另外,在特种车辆如119、120通过路口时,系统可自动转为特种车辆放行,其他车辆禁止通行的状态,15s后系统自动恢复正常管理。并有手动控制分时段 84s与60s通行管理转换等功能。 -The system consists of microcontroller system, keypad, LED,
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:37229
    • 提供者:wang junliang
  1. trafficlight

    0下载:
  2. 交通信号控制器的VHDL设计 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间 -Traffic signal controller VHDL design design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1317406
    • 提供者:陈仲海
  1. Traffic-light-control-system

    0下载:
  2. 城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。而交通信号灯是我们常见的交通管理系统。 本方案要求利用SPCE061A为主控制器,配合交通灯模组V3.0设计的交通信号灯控制系统。-City traffic control system is used for city traffic data monitoring, traffic signal control and traffic management
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1984425
    • 提供者:
  1. Traffic-Light-Control-VHDL

    0下载:
  2. 实现东西南北四向交通灯控制。。1.东西主干道、南北支干道方向各有一组红,黄,绿灯用于指挥交通,主干道东西方向红、黄、绿灯的持续时间分别为30s,5s,50s;支干道南北方向红、黄、绿灯的持续时间分别为50s,5s,30s。 2.当有紧急情况(如消防车)时两个方向均为红灯亮,计时停止,数据清零,当特殊情况结束后,控制器恢复原来状态,正常工作。 3.以倒计时方式显示两个方向允许通行或禁止通行的时间。 -traffic light controller..VHDL ..Altium Desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:838525
    • 提供者:陈飞
  1. controler

    0下载:
  2. 交通灯的控制器设计,控制红,绿,蓝,三种颜色,亮,灭,分别由三个定时器控制。- The traffic light controller design, control the red, green, blue, three kinds of color, light, destroy, respectively by three timer control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:59665
    • 提供者:春霞
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. VHDL_Traffic-Light

    0下载:
  2. 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间。 -The design task: simulate the crossroads of the working process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:763943
    • 提供者:
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com