CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 天线

搜索资源列表

  1. Hamming.rar

    2下载:
  2. 海明加权的天线方向图,使用matlab 调试过,对研究雷达天线的同志很有帮助。,Hamming weighted antenna pattern, use the debug matlab, and the study of the radar antenna comrades helpful.
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:40754
    • 提供者:王坤
  1. dmusicmatlab.zip

    0下载:
  2. 智能天线中的d music 算法,可以实现波束赋形,权值优化等,smart antenna d music
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:2013
    • 提供者:冯小晶
  1. 3DRadPattern

    0下载:
  2. 3D Radiation Pattern of Dipole Antenna matlab 的三维天线方向图有截图-3D Radiation Pattern of Dipole Antenna matlab three-dimensional antenna pattern has Screenshots
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:184022
    • 提供者:wangyi
  1. danfaduoshou

    0下载:
  2. 无线通信信道仿真,单发多收。发送天线到不同接收天线的信道独立-Wireless communication channel simulation, single overcharged. Send an antenna to a different receiving antenna independent channel
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1472
    • 提供者:lu_xy
  1. LCMV

    0下载:
  2. TD系统中智能天线下行波束赋形LCMV算法仿真实现算法-Smart Antenna Downlink Beamforming LCMV algorithm
  3. 所属分类:3G develop

    • 发布日期:2017-03-30
    • 文件大小:1138
    • 提供者:高婷
  1. antenna

    1下载:
  2. 用matlab在极坐标下画天线方向图,可以运行,已经测试过-In the polar coordinates with matlab draw Antenna
  3. 所属分类:matlab

    • 发布日期:2017-03-19
    • 文件大小:2048
    • 提供者:
  1. Dipole

    2下载:
  2. 极子天线辐射图程序 包括方向图 和辐射图-the radiation of dipole antenna
  3. 所属分类:其他小程序

    • 发布日期:2013-04-17
    • 文件大小:1404
    • 提供者:李光
  1. pp

    1下载:
  2. 此程序是自适应波束图中的主波束的天线方向图 函数调用了sinc函数-This procedure is adaptive beamforming map of the main beam of the antenna pattern function call the sinc function
  3. 所属分类:Special Effects

    • 发布日期:2017-03-23
    • 文件大小:609
    • 提供者:李宏珍
  1. BeamFroming

    0下载:
  2. 自适应波束形成(智能天线)的MATLAB代码仿真程序-Adaptive beamforming (smart antennas) code of the MATLAB simulation program
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:5746
    • 提供者:Huang
  1. esprit

    3下载:
  2. 经典ESPRIT算法,在等间距接受阵列的条件下,直接估计出我们想要接收信号的角度。八个接收天线,二个目标信号,噪声为高斯噪声。-Classical ESPRIT algorithm, such as spacing in the array to accept the conditions, we want to directly estimate the received signal point of view. Eight receiving antennas, two target si
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:1028
    • 提供者:兰天
  1. Antenna-and-EM-Modeling-with-MATLAB

    1下载:
  2. 该书基于matlab编程来实现阵列天线方向图的形成-The book based on the matlab programming to achieve the formation of array antenna pattern
  3. 所属分类:matlab

    • 发布日期:2017-05-25
    • 文件大小:8285257
    • 提供者:lcq
  1. SEA_det

    0下载:
  2. 多天线系统信号检测,最大释然概率算法,树型搜索-Multi-antenna system, signal detection, the greatest probability relieved algorithms, tree search
  3. 所属分类:3G develop

    • 发布日期:2017-04-02
    • 文件大小:3837
    • 提供者:牛牛
  1. AdapBF_SNR

    0下载:
  2. 在无缘雷达探测里雷达天线接收的自适应波束形成算法-Lane missed radar in the radar antenna to receive the adaptive beamforming algorithm
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1050
    • 提供者:况卢娟
  1. BeamFormer

    0下载:
  2. 一个在智能天线技术里的波束形成算法-A smart antenna technology in the beamforming algorithm
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1858
    • 提供者:况卢娟
  1. SmartAntennaSystem

    0下载:
  2. 智能天线在TD-SCDMA系统中的应用与MATLAB仿真-Smart antenna in TD-SCDMA System Simulation with MATLAB
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5010
    • 提供者:hypig
  1. circle_RB_MUSIC

    0下载:
  2. DOA 估计,阵列天线来波分析。Matlab code-DOA estimation, array antenna to wave analysis. Matlab code
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:1719
    • 提供者:chenguoping
  1. RFID

    0下载:
  2. 讲了rfrd技术和天线设计,对射频制作有很大的帮助-Stresses the rfrd technology and antenna design for radio production of great help
  3. 所属分类:RFID

    • 发布日期:2017-03-30
    • 文件大小:209326
    • 提供者:huang
  1. chapter02

    0下载:
  2. 天线仿真源代码,在MATLAB平台上运行,程序完整。-Antenna simulation source code in the MATLAB platform, the program integrity.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:30593
    • 提供者:华南
  1. chapter04

    0下载:
  2. 用于无线通信中天线仿真,matlab上的源代码,第三部分。-For wireless communications antenna simulation, matlab on the source code, the third part.
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:40644
    • 提供者:华南
  1. chapter06

    0下载:
  2. 用于无线通信中天线仿真,matlab上的源代码,第五部分。-For wireless communications antenna simulation, matlab on the source code, the fifth part.
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:188206
    • 提供者:华南
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com