CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 序列检测器

搜索资源列表

  1. schk

    0下载:
  2. 熟悉用状态机设计各种序列检测器的思路和方用状态机实现序列检测器的设计-Familiar with the various sequence detector state machine design thinking and to use the state machine to achieve the design of the sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:240375
    • 提供者:jackychen
  1. s101

    1下载:
  2. 用VHDL语言,设计一个“101”序列检测器,双过程描述编写-VHDL language, to design a dual procedure describes the preparation of "101" sequence detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:172991
    • 提供者:henry
  1. m_seq

    0下载:
  2. 产生长度为15的M序列,将m序列产生的数据作为输入,送入一个序列检测器,该序列检测器在检测到连续的“1010”时,送出一个时钟周期宽度的指示信号-15 m_sequence ,and can test"1010"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:899378
    • 提供者:周游
  1. 10101-sequence-detector

    0下载:
  2. 课程设计之10101序列检测器的Verilog 实现-10101 sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-24
    • 文件大小:1024
    • 提供者:陈俊辉
  1. The-state-machine-sequence-detector

    0下载:
  2. 状态机实现序列检测器。设计一个一个左移移位寄存器,用硬件设备上的两个拔码开关,预置一个8位二进制数作为待检测码,随着时钟逐步输入序列检测器,8个脉冲后检测器输出结果。-The state machine sequence detector. Design a left shift register, two on the hardware DIP switch and preset an 8-bit binary number as to be detected code, as the clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1468127
    • 提供者:clementkv
  1. xu-lie-jiance-qi

    0下载:
  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。 状态机的工作方式就是根据控制信号按照预先设定的状态进行顺序运行。本实验就是要求当检测器收到一组二进制码后,如果这组码与检
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3272
    • 提供者:xuling
  1. Sequence-detector

    0下载:
  2. 序列检测器,检测(1110010)比较基础的检测器,可在此基础上进一步练习并改进.-Sequence detector, (1110010) The basis of comparison of the detector, on this basis, further practice and improve.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:301504
    • 提供者:dongxia
  1. sequence-detector

    0下载:
  2. 序列检测器的设计与实现。功能要求:检测器有一个输入端X,被检测的信号为二进制序列串行输入,检测器有一个输出端Z,当二进制序列连续有四个1时,输出为1,其余情况均输出为0。如:X:1101111110110,Z:0000001110000。 -Design and Implementation of the sequence detector. Functional requirements: the detector has an input terminal X and the dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:29696
    • 提供者:gaochaoliang
  1. work

    0下载:
  2. 这里面包含了从易到难的6个很经典的verilog例子,有序列检测器,3位乘法器,数字报表等-It contains from easy to difficult six very classic verilog example, a sequence detector, three multiplier, digital statements, and so on
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:592690
    • 提供者:陆乘风
  1. xulie

    0下载:
  2. 序列检测器 用于BASYS2板子 教学用-this is a xulie checker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:4081
    • 提供者:周晓辰
  1. aa

    0下载:
  2. 这个程序就是序列检测器的vhdl实现,真麻烦啊-This program is the sequence detector vhdl achieve real trouble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:713
    • 提供者:zhangzhen
  1. detector

    0下载:
  2. 序列检测器,实验题第一题,懂的人都懂得,可以实现对1101的检测,使用状态机-Sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:428208
    • 提供者:zdg
  1. sequence

    0下载:
  2. 利用Basys2 FPGA 开发板实现简单的序列检测器-Basys2 FPGA development board to achieve a simple sequence detector
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:199641
    • 提供者:任志宏
  1. sequence_detector

    0下载:
  2. 序列检测器的设计师用Verilog语言实现的,实现了状态之间的有效处理,在FPGA开发板上可运行-module xulie_check(clk,rst,x,y) output y input clk,rst,x reg y reg [2:0] state parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7 always@(posedge clk or negedge rst)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:346911
    • 提供者:zhanghf
  1. serial1

    0下载:
  2. 基于VHDL语言实现的序列检测器,包含按键防抖动功能的实现。-Sequence detector based on the VHDL language, containing the button shake function to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:1503
    • 提供者:momo
  1. The-VHDL-various-basic-code

    0下载:
  2. VHDL的各种基本代码 包括4选1,8选1多路选择器,8位全加器,加1减1计数器,序列检测器,异步清零16位加减可控计数器,数码管扫描程序,双2选1,状态机等基本程序!-VHDL basic code including 4 election 1,8 to 1 multiplexer selector, 8-bit full adder, plus 1 minus 1 counter sequence detector, asynchronous clear 16 plus or minus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3696478
    • 提供者:ai
  1. xuliejianceqi

    0下载:
  2. 在FPGA开发板上用硬件描述语言实现一个状态序列检测器,比如边沿检测器等-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:242624
    • 提供者:谢翔
  1. 11

    0下载:
  2. VHDL序列检测器,使用了EDA课程里面用到的状态机.-VHDL sequence detector, the use of EDA curriculum used inside the state machine.
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:686
    • 提供者:蒋峰
  1. SCHK

    0下载:
  2. ise13.2环境下VHDL编写的8位序列检测器+仿真波形-ise13.2 environment in VHDL 8 sequence detector+ simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:478827
    • 提供者:初末
  1. seqdet_5

    0下载:
  2. 本程序是5位序列检测器的Verilog源代码,已经过上机运行检测。-This program is five sequence detector Verilog source code, has been detected on the machine running.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:162068
    • 提供者:pxm
« 1 2 3 4 56 7 8 9 »
搜珍网 www.dssz.com