CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 异步 读写

搜索资源列表

  1. CCommLib

    0下载:
  2. 自己写的串口通信类库,为异步读操作,使用时直接将lib文件加载如程序,判断串口是否可以,打开串口,读、写串口,关闭等,自己写的,满足一定的需求,不太完善-serial communication class library for the asynchronous read operation, use lib file is loaded directly into such programs to determine whether the serial port, open the ser
  3. 所属分类:Com Port

    • 发布日期:2017-06-02
    • 文件大小:14355434
    • 提供者:shadow
  1. RS485Comm

    0下载:
  2. 本例程演示了PC机和智能型仪表如何通过RS485接口进行通信。仪表采用百特公司产XMA5000智能型专家自整定PID调节器,该仪表的通讯协议已经在例程代码中作了详细说明。该例程非常简单,旨在说明如何在VC++中使用重叠方式读写串口。这个是异步通信,昨天传那个是同步通信。-The routine demonstration of PC and intelligent instrument through RS485 communication interface. Instrumentation
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-09
    • 文件大小:25225
    • 提供者:zxc
  1. SPCE3200LABCODE_16to23

    0下载:
  2. 凌阳SPCE3200 系统开发板随机自带源程序。共安排了32个子目录,其中按照SPCE3200实验指导书(上册)中的实验顺序存放了实验程序的源代码,所有项目代码均在S+coreIDE v2.1.2下测试通过。此为第16到第23个试验源代码。试验内容如下: 5.3 实验十六:Cache 读写实验. 5.4 实验十七:DMA读写实验 5.5 实验十八:SD卡读取实验 SPCE3200教学平台通信模块实验 6.1 实验十九:SPI通信实验 6.2 实验二十:I2C通信实验.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-13
    • 文件大小:2132057
    • 提供者:元青
  1. ram

    0下载:
  2. 存储器模块生成,采用16位数据总线,5位读写地址总线,异步清零!-Memory modules generated, using 16-bit data bus, 5 to read and write address bus, asynchronous Clear!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2346
    • 提供者:齐磊
  1. DAS_RELEASE

    2下载:
  2. OPC 开发工具包2.0 OPC工具包是用来简化OPC规范服务器开发的工具包,它是对OPC DA/AE/HDA 诸多规范接口及应用的封装,支持VB/VC/DELPHI/CB/.net语言,支持win98, winxp, win2000, win2003平台, 支持同步/异步访问,支持读写双向访问,支持分布式体系结构,支持树型标签结构,支持自定义标签属性。应用OPC工具包,可以使开发者在很短时间内开发出一个符合OPC规范的服务器软件,既无需涉及烦琐的OPC协议,也不必掌握复杂的COM技术。该工
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3489108
    • 提供者:judf
  1. SPCE061A_MAX_Sub_good

    0下载:
  2. 凌阳子程序大全+++趣味语音识别程序+++61板自检程序+++语音电子钟程序+++语音识别且识别过程在图形液晶显示模组LCD上显示实验+++复读机程序+++数据传送指令演示程序+++冒泡排序程序+++LED键盘模组自检程序+++led单向循环流水灯程序+++点亮IOA口外接LED键盘模组的不同的led来显示按键输入值+++外部中断实验+++触键唤醒实验+++IRQ5,4,6时基信号中断实验+++定时器实验+++定时器A/B的irq0、irq1和irq2中断实验+++双路DAC实验+++ADC转换
  3. 所属分类:assembly language

    • 发布日期:2017-05-23
    • 文件大小:7630118
    • 提供者:人生苦短
  1. mms

    0下载:
  2. 一个基于串口的手机短信发送/接收程序;Demo很简单,是以异步方式读写串口的;在对话框初始化中修改串口的配置参数正确后,能100 的正常发送/接收短信-Based on the serial port of a cell phone message to send/receive process Demo is very simple, based on asynchronous serial reading and writing at initialization dialog box
  3. 所属分类:SMS

    • 发布日期:2017-04-24
    • 文件大小:169185
    • 提供者:桃子
  1. Serial_and_gprs_xp_WINCE

    0下载:
  2. 文件说明: 1 XP/2000 下异步方式串口类 SerialPort.h SerialPort.cpp 2 WinCE 下 同步方式串口类(本为阻塞方式,但读写都用了独立的线程,妙哉!) CESeries.h CESeries.cpp 3兼容 WinCE , XP/2000 平台的串口类,同步方式 TSerial.h TSerial.cpp
  3. 所属分类:Windows CE

    • 发布日期:2017-03-24
    • 文件大小:259025
    • 提供者:虎成
  1. Chapter-9

    0下载:
  2. Verilog编写的异步串行FIFO程序,包括各种标志位,指针注释,其中还有SDRAM的读写程序-Asynchronous serial FIFO write Verilog procedures, including a variety of flag, pointer annotations, among them a SDRAM read and write procedures for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3988355
    • 提供者:张跃平
  1. TTSapplication

    0下载:
  2. 本次项目通过使用VC6.0环境,调用Microsoft Speech SDK进行开发,创建了一个基于TTS的中英文文本阅读器,对其进行了应用拓展,可以调节语速、音调、读取文件,并且建立了一个使用TTS语音阅读的登录器,使用语音验证码,防止恶意注册。本文主要论述了设计的主要流程思路与核心技术、问题解决过程,包含了主要问题是speak函数的同步与异步实现,UNICODE与ANSI码转化,线程的运行与文件读写,TTS功能完善,语音验证码等。-sounds-reading and sounds deci
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-05-12
    • 文件大小:2693909
    • 提供者:Chensiming
  1. ram_module

    0下载:
  2. 带同步,异步清零的ram模块,可以实现读写功能,可编译可综合-With synchronous and asynchronous Clear the ram modules, can achieve read and write capabilities can be compiled can be integrated
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:545
    • 提供者:吴洋
  1. CompleteAVRapplicatios

    0下载:
  2. AVR应用程序全集,包括按键处理,定时器,中断、PWM、异步串口UART以及EEPROM读写程序等,上述程序均通过调试。-Complete AVR applications, including keys, timers, interrupts, PWM, UART, and asynchronous serial EEPROM read and write procedures, these procedures are through debugging.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:307914
    • 提供者:守望轩
  1. CH375HM.ZIP

    0下载:
  2. CH375HM.ZIP · U盘文件读写模块的说明和相关例子源程序 嵌入式/单片机系统大容量/海量数据移动存储的解决方案 支持常用的FAT12/FAT16/FAT32文件系统,支持8位并口, 支持I2C两线串口,支持各种波特率TTL/RS232异步串口等 -CH375HM.ZIP · U disk file reader module descr iptions and examples of source code related to embedded/MCU
  3. 所属分类:Com Port

    • 发布日期:2017-03-23
    • 文件大小:721673
    • 提供者:patrick
  1. ReadData

    1下载:
  2. 自己编写的usb2.0协议下68013与上位机数据传输时上位机读写数据的软件,包括直接用接口函数读和异步指针读取!-I have written agreement 68013 usb2.0 data transfer between PC and PC software to read and write data, including the direct use of interface functions and asynchronous read pointer to read!
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3671008
    • 提供者:李勇
  1. fifo

    0下载:
  2. 异步fifo的verilogHDL代码 通过比较读写地址并产生异步空/满标志,再通过把异步空/满标志同步到相应时钟域来实现数据的传递。很好的解决了亚稳态的问题。-code of asynchronous fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:17999
    • 提供者:Bruce Lee
  1. FIFO

    0下载:
  2. 异步FIFO Verilog源代码,对控制读写地址进行设计,以便写满和读空只产生一个标志,实现对FIFO的缓冲控制-Asynchronous FIFO Verilog source code, designed to control read and write addresses in order to fill and read empty produce only one flag, the FIFO buffer control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3033
    • 提供者:zx
  1. CSerialPort

    0下载:
  2. CSerialPort类,高效读写,异步同步-CSerialPort class, efficient, speaking, reading and writing, asynchronous synchronization
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:23268
    • 提供者:王威
  1. 68013A_BULK_TRANS

    0下载:
  2. CY68013A异步BULK传输范例,严格按照时序描述来进行读写,对fifo实现读写,功能完善。-CY68013A asynchronous BULK transmission model, in strict accordance with the temporal descr iption to read and write, read and write to the FIFO implementation, perfect function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:221139
    • 提供者:fairy
  1. PortFile

    0下载:
  2. 集客户端、服务器端于一体的IOCP的网络文件传送组件,支持异步socket,导步读写文件,多线程并发,多文件夹、文件并行传送,可以使单台客户端传送性能达到最佳,服务器端性能达到最大,可以支持至少两千以上的并发。-Network file transfer component of the set of the client, the server-side in one of the IOCP supports asynchronous socket, step-by-step guide to
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-11-13
    • 文件大小:1255404
    • 提供者:jyc
  1. asynchorous_FIFO

    0下载:
  2. 讲述异步FIFO一种新型实现方式,将读写指针地址扩展,更为简洁-A new way to achieve about the asynchronous FIFO read and write pointers address extension, more concise
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:498015
    • 提供者:xjsfuture
« 1 2 3 45 6 »
搜珍网 www.dssz.com