CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 循环 编码 译码

搜索资源列表

  1. xunhuanma73

    0下载:
  2. 基于MATLAB的 实现73循环码编码以及译码 -The implementation of the 73 cyclic code coding and decoding based on MATLAB
  3. 所属分类:CSharp

    • 发布日期:2017-04-12
    • 文件大小:739
    • 提供者:戴艳
  1. Cyclic-code

    0下载:
  2. 循环码的编码与译码过程,代码以(7,4)为例讲解了整个编码解码的详细过程-Cyclic code encoding and decoding process, code (7, 4) as an example to explain the whole process of encoding and decoding
  3. 所属分类:matlab

    • 发布日期:2017-05-04
    • 文件大小:299252
    • 提供者:lam yo clave
  1. ofdm

    1下载:
  2. OFDM系统仿真,非常完整,适合于学习OFDM的同学。包括 串并变换子载波调制 OFDM的IDFT/DFT实现保护间隔与循环前缀 基于OFDM的802.11a系统 802.11a的帧结构 802.11a OFDM物理层编码过程 系统参数训练符号 Signal域 Data域的扰码及解扰卷积编码器和Viterbi译码交织子载波调制与解调 IEEE 802.11a系统的仿真 -OFDM system simulation, very complete, suitable for lea
  3. 所属分类:Other systems

    • 发布日期:2017-12-16
    • 文件大小:7168
    • 提供者:徐兵政
  1. 实验6-BCH循环码的编码与译码的matlab实现 (1)

    0下载:
  2. 对BCH实现的matlab纠错实现。。。。。,,,,。(Implementation of BCH implementation of MATLAB error correction)
  3. 所属分类:matlab例程

    • 发布日期:2018-05-06
    • 文件大小:9216
    • 提供者:思域
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 3 4»
搜珍网 www.dssz.com