CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 按键消抖

搜索资源列表

  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. Keys-away-shaking

    0下载:
  2. 采用状态机的理念进行的按键消抖程序,可以进行很好移植.-The state machine button can shake procedures, extinction is very good transplantation
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2449
    • 提供者:jingjing
  1. ring

    0下载:
  2. 单片机打铃程序,大学时的课程设计,用汇编语言写的,对初学汇编语言的人很有帮助,里面有按键消抖、打铃设置等很多实用的子程序-SCM ringing program, college, curriculum design, written in assembly language, assembly language for beginners who helps, there are key debounce, rang the bell set routines, and many other
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4774
    • 提供者:wangpoba
  1. vhdl_key_with_debounce

    0下载:
  2. vhdl语言编写的消抖电路,用于按键消抖。-vhdl languages ​ ​ debounce circuit for key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. KeyeLiminateDithering

    0下载:
  2. 按键消抖Verilog程序,希望对大家有帮助!-Key debounce Verilog program, we want to help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:422425
    • 提供者:sun pei
  1. keyscanverilog

    0下载:
  2. 按键消抖实验,体验用FPGA实现 和用单片机实现的不同-Key debounce experiment, experience, and the use of single-chip FPGA implementation to achieve different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:195430
    • 提供者:樊依林
  1. sw_led

    0下载:
  2. 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:365564
    • 提供者:李才
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18572217
    • 提供者:谷向前
  1. motor_PWM

    0下载:
  2. 刚写的verilog 程序,控制直流电机正反转,具有严格的按键消抖函数,采用脉冲边沿检测法,防止误触发!-Just write verilog program to control the DC motor reversing, with strict key debounce function, pulse edge detection method, to prevent false triggering! ! ! Beginner EDA, if insufficient, please
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:302815
    • 提供者:谷向前
  1. 4x4keyscan

    0下载:
  2. 4x4按键扫描程序 是基于状态机的有按键消抖按键扫描程序-4x4 key scanner is based on the state machine has buttons debounce key scanner
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:706
    • 提供者:xxi
  1. xiaodou_fpga

    0下载:
  2. fpga的按键消抖程序,用硬件描述语言实现,可以用在按键控制的fpga上。-fpga key debounce procedure, using hardware descr iption language, can be used in the control buttons on the fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:348992
    • 提供者:momowang
  1. anjian

    0下载:
  2. 51单片机按键 含有消抖功能,已通过硬件调试,实现应有功能-mcu c
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:7349
    • 提供者:徐英杰
  1. Debounced-program-FPGA

    0下载:
  2. FPGA消抖程序,用于按键消抖,可作为一个process写入程序-FPGA debounce program for key debounce, the program can be written as a process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:518
    • 提供者:邓欢
  1. qjsf

    0下载:
  2. 基于fpga多功能电子时钟设计,按键消抖等处理-Fpga based design of multi-function electronic clocks, and other processing key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:250229
    • 提供者:
  1. fdd

    0下载:
  2. 按键消抖,对时钟沿计数决定是否将bin值给内部的按键值。-Debounced buttons, whether on the edge of the clock count within the bin value to the key value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:86907
    • 提供者:房间奥斯
  1. key_debounce

    0下载:
  2. verilog实现的按键消抖源代码,初学fpga的可以学习下-implementation of key debounce verilog source code, beginners can learn from fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:349055
    • 提供者:flier
  1. debounce_1_Sch

    0下载:
  2. 用QuartusII原理图形式编写的按键消抖程序,分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下的时间与产生低电平信号的时间相等,按键按下的时间与LED灯亮的时间相等-*Project Name :debounce_Sch *Module Name :debounce_Sch *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:404683
    • 提供者:ZB
  1. for-mcu-buttern

    0下载:
  2. 普通键盘练习程序、键盘扫描程序含按键消抖功能,e也可加上线反转代码。-the mcu buttern progress
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:891
    • 提供者:kaihe
  1. duoji

    0下载:
  2. 此为单片机的从语言编程,按键消抖,控制高电平的持续时间即可控制舵机停止制动的角度。-This is the single chip from language programming, keys away shaking, control the duration of the high level can control the Angle of steering gear stop braking.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1047
    • 提供者:wanqiang
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com