CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 方波

搜索资源列表

  1. jietifangbo

    1下载:
  2. 用计数器来完成阶梯拨程序设计以及方波的程序设计-With counters to complete the ladder as well as the allocation of programming procedures square design
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:29575
    • 提供者:小草
  1. 555

    0下载:
  2. 本次课程设计是设计一个具有长时间定时(1小时以上)的电路。该电路的核心部分有2部分 ,一是可调占空比的方波发生器电路;另一个是时间控制电路。这两部分应用的主要元件都是555定时器,可调占空比的方波发生器电路是应用555定时器连接的多谐振荡器,时间控制电路是应用555定时器连接的单稳态触发器。该长时间定时器设计思路清晰,电路连接简单,性价比高,有很大的实用价值。-The curriculum design is to design a long period of time from time t
  3. 所属分类:Education soft system

    • 发布日期:2017-04-09
    • 文件大小:42321
    • 提供者:jjjjjjjjkkhjh
  1. c

    0下载:
  2. 单片机`方波发生器,可调频, 0-1000HZ-Singlechip `square-wave generator, can be FM ,0-1000HZ
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:28738
    • 提供者:manifasto
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. wave

    0下载:
  2. 一款模拟正弦波,方波等函数的波形发生器。设置好起始频率、结束频率以及周期即可开始演示波形曲线。你可以调整采样、缓冲,增益调节,振荡器等参数进行修正。这个代码附带的几个控件不错,有点像工控组件,如旋钮,开关,LED显示-A simulated sine wave, square wave function, such as the waveform generator. Set up the starting frequency, ending frequency, and waveform pr
  3. 所属分类:Wavelet

    • 发布日期:2017-03-29
    • 文件大小:322079
    • 提供者:wang
  1. steven

    0下载:
  2. 对0--250Khz的方波信号进行测量,并实时显示频率的值-Of 0- 250Khz square wave signal measurements and real-time display of the value of the frequency
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-27
    • 文件大小:18436
    • 提供者:阚建峰
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. PIDSimulation

    0下载:
  2. 针对离散系统的阶跃信号、正弦信号和方波信号,设计离散PID-Step for the discrete-time systems signal, sinusoidal signal and the square wave signal, the design of discrete PID
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:694
    • 提供者:陈强
  1. WJKS

    0下载:
  2. 该程序实现了微机控制的可以产生正弦波、三角波、方波,并可以使用键盘对其操作-The program can be controlled by a computer generated sine wave, triangle wave, square wave, and can use the keyboard to its operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1342
    • 提供者:gmaj
  1. LAB6_1

    0下载:
  2. 51单片机上的信号发生器,产生三角波,方波,正弦波等各种波形的汇编代码。-51 single-chip signal generator to produce the triangular wave, square wave, sine wave such as the assembly code.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:535
    • 提供者:siubr
  1. elm

    0下载:
  2. elman神经网络用于峰值检测 三角波 矩形波 方波调制信号 -elman peak detector neural network for rectangular-wave square wave triangle wave modulation signal
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-30
    • 文件大小:644
    • 提供者:guojing
  1. singal

    0下载:
  2. 可以选择形成方波、三角波,并可以改变波的频率和幅度-May choose to form a square wave, triangle wave, and can change the frequency and magnitude of wave
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:16113
    • 提供者:eefamily
  1. fanboxinhaofashengqi

    0下载:
  2. 这是一个简单的单片机实现方波信号产生的程序,适合单片机初学者!-This is a simple square wave signal generator single-chip realization of the process, single-chip microcomputer for beginners!
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:16358
    • 提供者:陈楚鑫
  1. designofPC

    0下载:
  2. 单片机设计 基于PC机的电子琴设计 要使扬声器发出不同的音调,就得输入不同频率的波形。通过给8253定时/计数器装入不同的计数值,可以使其输出不同频率的方波。经过放大器的放大作用,便可驱动扬声器发出不同的音调,只要插入一段延时程序之后,再将扬声器切断,音调的声音就可以持续一端时间。-Single-chip design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:19144
    • 提供者:文枫
  1. shuzipinlvji

    0下载:
  2. 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基宽度为1us,10us,100us,1ms。用单片机实现自动测量功能。-This digital frequency meter will use fixed time, the counting method survey frequency, uses 1602The LCD monitor dynamic to demonstrate 6 fi
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1644
    • 提供者:邱晓波
  1. Text

    0下载:
  2. 基于单片机80c51用两个独立键盘控制占空比的方波发生器的程序-80c51 single-chip microcomputer-based keyboard with two separate square wave generator to control the duty cycle of the procedure
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:849
    • 提供者:Excell889
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. danpianjifangbochengxu

    0下载:
  2. 基于单片机方波发生器的程序清单,设计一个方波发生器,用4位数码管显示方波的频率。-Square-wave generator based on the single-chip list of procedures, the design of a square-wave generator, with four square-wave digital frequency display.
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2222
    • 提供者:张少鹏
  1. zhongduanfangbo

    0下载:
  2. 中断例子,用于产生一占空比可调的方波。用T0定时器。-Interruption of examples used to generate a square wave with adjustable duty cycle. By T0 timer.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6862
    • 提供者:shiyanji
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com