CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 波形设计

搜索资源列表

  1. AutoCore

    0下载:
  2. 一个用c++ builder设计的信号处理自相关的例子,绘出了原始波形和自相关后的波形
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:447376
    • 提供者:mky
  1. GUI

    0下载:
  2. GUI设计,将采集的数据也波形数据显示,简单漂亮
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:173430
    • 提供者:安哲
  1. work

    0下载:
  2. 现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I) 器件来实现。对全数字BPSK 调制解调系统采用计算机仿真的方法 进行研究能清楚地了解通信系统中所运用的数字信号处理技术, 包括信息源、发送和接收 滤波器、内插器以及判决器等全部采用数字信号处理算法来实现。文章给出了BPSK 调制 解调系统各个模块的算法和结构, 运用MA TLAB
  3. 所属分类:传真(Fax)编程

    • 发布日期:2008-10-13
    • 文件大小:864
    • 提供者:zhanghuan
  1. work

    0下载:
  2. 现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I) 器件来实现。对全数字BPSK 调制解调系统采用计算机仿真的方法 进行研究能清楚地了解通信系统中所运用的数字信号处理技术, 包括信息源、发送和接收 滤波器、内插器以及判决器等全部采用数字信号处理算法来实现。文章给出了BPSK 调制 解调系统各个模块的算法和结构, 运用MA TLAB
  3. 所属分类:传真(Fax)编程

    • 发布日期:2008-10-13
    • 文件大小:2356
    • 提供者:zhanghuan
  1. psk

    1下载:
  2. 现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I) 器件来实现。对全数字BPSK 调制解调系统采用计算机仿真的方法 进行研究能清楚地了解通信系统中所运用的数字信号处理技术, 包括信息源、发送和接收 滤波器、内插器以及判决器等全部采用数字信号处理算法来实现。文章给出了BPSK 调制 解调系统各个模块的算法和结构, 运用MA TLAB
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1375
    • 提供者:zhanghuan
  1. DSO_FFT

    0下载:
  2. 在采用 320x240 屏的设计实验箱上运行,产生正弦,三角,方波三种函数波形,可扫频。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:214807
    • 提供者:hangyinli
  1. DDS-128-modu

    0下载:
  2. DDS-320-func: 在采用 320x240 屏的设计实验箱上运行,产生正弦,三角,方波三种函数波形,可扫频
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1271158
    • 提供者:hangyinli
  1. DDS-320-func

    0下载:
  2. 在采用 320x240 屏的设计实验箱上运行,产生正弦波,调幅调频波形,扫频。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:461363
    • 提供者:hangyinli
  1. DDS-320-modu

    0下载:
  2. 在采用 320x240 屏的设计实验箱上运行,产生正弦波,调幅调频波形,扫频。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1250158
    • 提供者:hangyinli
  1. D4_15072

    0下载:
  2. 基于线性调频脉冲压缩技术的超宽带通信系统设计,给出了具体波形和框图
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:100530
    • 提供者:王明
  1. mydesign

    0下载:
  2. 自己做的毕业设计,任意波形发生器的原理图及pcb版图,基于mcu和FPGA实现的
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-20
    • 文件大小:764837
    • 提供者:李白洋
  1. MAJORITY_VOTER

    0下载:
  2. Verylog编写的 Quartus II平台的简单设计实例 附仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:75400
    • 提供者:许东滨
  1. LEDWALK

    0下载:
  2. Verylog编写的 Quartus II平台的简单设计实例 附仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:86193
    • 提供者:许东滨
  1. LEDWATER

    0下载:
  2. 流水灯 Verylog编写的 Quartus II平台的简单设计实例 附仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:94148
    • 提供者:许东滨
  1. PLL

    0下载:
  2. PLL 时钟模块  Quartus II平台的简单设计实例 附仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:807196
    • 提供者:许东滨
  1. 2

    0下载:
  2. 搜集的用LABWINDOWS/CVI开发平台设计的一款一般波形发生器,对学习虚拟仪器开发的有一定的帮助
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1530
    • 提供者:feiyi
  1. INVTER_NEW

    0下载:
  2. 一种新型的逆变器双环控制技术(提出了一种逆变器双环控制技术,该方案内环为单周期控制,外环采用K 系数法设计 的补偿器。仿真结果证明了该控制策略最显著的优点是:对输入电压的大扰动具有很好抑制 性、负载变化时具有鲁棒性以及带非线性负载时高品质的输出波形。)
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:267807
    • 提供者:zh
  1. diantikongzhiqi

    0下载:
  2. 本设计是本人的课程设计,基于VHDL的电梯控制器的设计,能够实现12层电梯控制,上下开关,关门延时,提前关门,状态显示,通过波形仿真进行观看结果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69203
    • 提供者:polly
  1. xindiantuxiaobochuli

    1下载:
  2. 医学信号处理 设计目的:通过学习心电信号的知识和小波方法,结合学过的医学信号处理理论,实现心电QRS波形检测算法。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:44032
    • 提供者:血狼
  1. SIGNAL_ALL

    0下载:
  2. 通信基带信号发生器的设计,采用单片机输入频率和波形,在FPGA中实现频率和波形生成
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3415116
    • 提供者:谭儆轩
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 38 »
搜珍网 www.dssz.com