CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 消抖

搜索资源列表

  1. keypress

    0下载:
  2. 基于状态机的按键处理程序。克服延时消抖的效率低下-State machine based on the key handler. Overcome the delay debounce inefficient
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:1342
    • 提供者:yejiabing
  1. key

    0下载:
  2. VHDL按键消抖程序,文中提到了两种方法。-VHDL key the debounced program, mentioned two methods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:29142
    • 提供者:韩帅
  1. 5jq-893-001H

    0下载:
  2. 键盘码读取,消抖,回传。--Read keyboard value.-Read keyboard value.
  3. 所属分类:assembly language

    • 发布日期:2017-11-08
    • 文件大小:40928
    • 提供者:我爱宁宁
  1. jisuanqi

    0下载:
  2. 用51上用c控制74595实现了一个简单的计算器,并用检测跳变沿的方式对按键进行消抖。-Debounce c control 51 74 595 to achieve a simple calculator and detection hopping along the keys.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1920
    • 提供者:刘延飞
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖\\\\\\代码-Based on the verilog key debounce \ \ \ \ \ \ code
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:593
    • 提供者:王玲
  1. code-amplifier

    0下载:
  2. 该程序适用于运算放大器电路,控制增益倍数以及按键消抖-This procedure applies to the op-amp circuit to control the gain factor and key debounce
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:10665
    • 提供者:徐晟灏
  1. 4X4-key

    0下载:
  2. 4X4键盘的扫描程序和键盘的消抖程序,使用VHDL语言编写的程序-The 4X4 keypad scanner and keyboard eliminate buffeting program VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1595
    • 提供者:lsw
  1. oneseg7

    0下载:
  2. 实现按键输入十进制,具有按键消抖功能,时钟分频及复位功能-Key input decimal
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:446025
    • 提供者:理解进
  1. 25_keys

    0下载:
  2. 基于msp430,5线拓展25按键扫描,状态机编程。已通过测试,工作稳定,消抖效果好,附有原理介绍和原理图。-based on MSP430 25 key scan state with only 5 IOs. machine programming. Has been tested, stable, can eliminate buffeting effectivly, with a schematic presentation and schematic.
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:535022
    • 提供者:冯世杰
  1. Experiment03

    0下载:
  2. 消抖模块之一,一但检测到按键资源按下(高电平到低电平变化),“电平检查模块”-Debounce one of the modules, but detected a key resource pressed (high to low change), " level check module"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:402504
    • 提供者:吴明美
  1. KEYBOARD

    0下载:
  2. 用Verilog实现的按键检测及消抖程序代码,工程中很有实用价值。-Achieved using Verilog key detection and debounce code, works great practical value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1687
    • 提供者:武磊
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. verilog_xiaodou

    0下载:
  2. Verilog的消抖设计,这两篇都是我的精华,并且经过开发板的测试验证,我想对大家会很有帮助的。-The debounce Verilog design, which two are my essence, and after development board test validation, I think would be helpful to everyone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:30748
    • 提供者:珍宝
  1. keyboard

    0下载:
  2. 扫描行列键盘 实现无延时消抖 是应用于51单片机的程序-Scanning Array Keyboard debounce achieved without delay
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:11060
    • 提供者:zou753951
  1. Full-function-keyboard

    0下载:
  2. 全功能键盘: 支持消抖、长按、组合按键、按两次、三次等-Full-function keyboard: Support debounce, long press, the combination of keys, press twice, three times, etc.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-14
    • 文件大小:5053
    • 提供者:twfxk
  1. suanfa

    0下载:
  2. 简单实用的传感器滤波算法 1、限幅滤波法(又称程序判断滤波法) 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法 11、IIR滤波-Simple and practical sensor filtering algorithm
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:109344
    • 提供者:李谦
  1. example8-SigKeyCheck

    0下载:
  2. 键盘消抖 键盘消抖 来至于青创电子-Keyboard Keyboard debounce debounce keyboard debounce keyboard debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:294883
    • 提供者:李荣华
  1. ex1

    0下载:
  2. AT89S52单片机,基于c语言,独立按键的应用,包括CPU控制的按键扫描实验,按键加1数码管显示,按键可调节的电子时钟,定时中断控制的按键程序,独立式键盘的按键一键多功能程序,独立式键盘控制的4级变速流水灯,无消抖处理的按键程序,消抖处理的按键程序。-AT89S52 microcontroller, based on the C language, application independent key, including the key scanning experiment of CPU
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:7310
    • 提供者:高东
  1. key

    0下载:
  2. 详细按键消抖程序,VHDL语言描述,适用按键控制程序。-KEY vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:303631
    • 提供者:zhf
  1. keyscan_state

    0下载:
  2. 基于51单片机实现4x4矩阵键盘,按键消抖的状态机。-Based on 51 MCU 4x4 matrix keyboard, key debounce state machine.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:647
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 »
搜珍网 www.dssz.com