CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 消抖

搜索资源列表

  1. 7

    0下载:
  2. 既昨天的430串口程序后又添加了几个程序模块。3*4的矩阵键盘扫描以及通过锁存器IO口复用来驱动数码管。功能是这样:先对按键进行消抖,然后判断键值通过数码管显示通过串口发送出去。-Both procedures after yesterday' s 430 serial port to add a few program modules. 3* 4 matrix keyboard scanning and recovery through the latch to drive the di
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:22391
    • 提供者:小贾
  1. key

    0下载:
  2. 零耗时键盘各种事件及消抖处理模板裸奔程序详解 -Zero time-consuming and keyboard events and eliminate buffeting process templates streaking procedure Xiangjie
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3886
    • 提供者:莫兵兵
  1. StopWatch

    0下载:
  2. 电子秒表 巧妙实现按键消抖、按键组合、一键多用等功能-Stopwatch button debounce cleverly achieved, key combination, a key multi-functions
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29238
    • 提供者:牵狼擎苍
  1. switch

    0下载:
  2. 该模块是一个基于verilog的脉冲触发高低电平保持的模块,同时包含了消抖的功能。 主要是针对现今许多开发板上开关是弹簧式的手按下去为低电平,手一松就变成了高电平。只要按一次松开后,模块就能自动输出一个低电平。(板子上的开关正常情况为高电平) 同时消抖部分在输入clk为50Mhz的时候可以延迟21ms来判断是否为开关按下-The module is based on verilog pulsed high-low to keep the trigger module includes b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:799
    • 提供者:刘卫菠
  1. xiaodoudianlu

    0下载:
  2. 键盘消抖电路的研究与分析,寻找简单而又稳定的水抖电路。-Keyboard debounce circuit research and analysis, looking for a simple and stable water shake circuits.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:250365
    • 提供者:he same
  1. stable_key

    0下载:
  2. 按键消抖电路,包含VHDL编写的程序,以及VerilogHDL编写的程序-Key debounce circuit, including a program written in VHDL, as well as programs written VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:627455
    • 提供者:路政西
  1. jifenqi

    0下载:
  2. 单片机计分器汇编程序,按键消抖,数码显示-SCM scoring device assembler, key debounce, digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:小宇
  1. dulijianpanxiaodou_C

    0下载:
  2. 单片机独立键盘消抖C程序,需要的下载吧,不需要的也-SCM independent keyboard debounce C program, you need to download it, do not need to also look at
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:15407
    • 提供者:miaoxiaohu
  1. pingpang

    0下载:
  2. 模拟乒乓球游戏机,输入有按键消抖模块,利用两个七段数码管的其中9段来模拟乒乓球的移动路线,中间的数码管兼做球网。-Table tennis simulation game, enter a key debounce module, using two seven-segment digital tube to simulate the Table Tennis section 9 of the mobile line, cater to the middle of the digital net
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:299859
    • 提供者:李凡
  1. code-

    0下载:
  2. 消抖代码 应用于fpga 基础实验 常用-Debounce code commonly used in basic experimental fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:348339
    • 提供者:
  1. water-lamp-xd

    0下载:
  2. 流水灯程序、按键消抖程序和计算按键次数程序-Light water programs, procedures and calculation of key debounce process button clicks
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:944
    • 提供者:lj
  1. example6

    0下载:
  2. 按键控制加减及消抖:使用KEY1和KEY2控制数据的加减。-Key control and eliminate buffeting Modified: KEY1 and KEY2 control data using addition and subtraction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27281
    • 提供者:YJ
  1. eliminate_dithering

    0下载:
  2. 消抖电路的Verilog描述,经过modesim仿真,在板子上调试可行-Debounce Verilog descr iption of the circuit, after modesim simulation, debugging possible on the board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:306988
    • 提供者:xillin
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. huanyangliushui

    0下载:
  2. 1、主程序:完成初始化工作,控制程序的走向。 2、中断服务子程序:完成显示方式的改变。 3、左移显示子程序:实现彩灯的左移显示。 4、右移显示子程序:实现彩灯的右移显示。 5、花样显示子程序:实现彩灯的花样显示。 6、10ms延时子程序:延时10ms,实现按键软件消抖。 7、0.5s延时子程序:延时0.5s。-1, the main program: completion of initialization, control program direction. 2, t
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2819
    • 提供者:li
  1. Keys-away-shaking

    0下载:
  2. 采用状态机的理念进行的按键消抖程序,可以进行很好移植.-The state machine button can shake procedures, extinction is very good transplantation
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2449
    • 提供者:jingjing
  1. ring

    0下载:
  2. 单片机打铃程序,大学时的课程设计,用汇编语言写的,对初学汇编语言的人很有帮助,里面有按键消抖、打铃设置等很多实用的子程序-SCM ringing program, college, curriculum design, written in assembly language, assembly language for beginners who helps, there are key debounce, rang the bell set routines, and many other
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4774
    • 提供者:wangpoba
  1. linuxkeyboard

    0下载:
  2. 基于s3c2440和linux,实现了3*4的矩阵键盘驱动。 功能:延时消抖,重复按键,多键齐按-S3c2440 and based on linux, a 3* 4 matrix keyboard driver. Function: debounce delay, repeat button, multi-key together by
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:5104
    • 提供者:康纳
  1. FPGA_key

    0下载:
  2. 本程序是在VERILOG语言的基础上编写键盘程序,理论上键盘是很容易实现的,但因为要考虑消抖的因素,所以,会复杂一些。-This program is prepared based on the VERILOG language keyboard program, in theory, the keyboard is very easy to implement, but because the factors to consider debounce, therefore, be more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:687
    • 提供者:jeby
  1. vhdl_key_with_debounce

    0下载:
  2. vhdl语言编写的消抖电路,用于按键消抖。-vhdl languages ​ ​ debounce circuit for key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 ... 16 »
搜珍网 www.dssz.com