CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 电子时钟

搜索资源列表

  1. vb做的带表盘的电子时钟

    0下载:
  2. vb做的带表盘的电子时钟,主要掌握shape控件和计时器控件的使用
  3. 所属分类:其它

    • 发布日期:2009-03-07
    • 文件大小:6035
    • 提供者:wusangygh
  1. 具有温度控制功能的电子时钟2

    0下载:
  2. 具有温度控制功能的电子时钟,1602or1302电子时钟电路图
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 1602+18B20+DS1302电子时钟源程序

    1下载:
  2. 使用C++语言实现电子时钟的源程序。时钟包括包括闹钟报警温度测量等功能
  3. 所属分类:Windows编程

  1. 8位电子时钟汇编代码

    1下载:
  2. 八位电子时钟,功能有年月日显示和时分秒显示和闹钟显示三种显示方式切换,并且可以对年月日,时钟秒,闹钟时间进行调节,并有闹钟闹铃功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2011-03-14
    • 文件大小:1882
    • 提供者:bin565107541
  1. 电子时钟

    1下载:
  2. 电子时钟的实现
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 电子时钟

    0下载:
  2. WIN32 application 显示系统时间 电子时钟
  3. 所属分类:界面编程

    • 发布日期:2011-05-21
    • 文件大小:1979501
    • 提供者:zhengjn
  1. msp430指针式电子时钟程序

    0下载:
  2. msp430f5438单片机指针式电子时钟程序
  3. 所属分类:源码下载

  1. clock

    0下载:
  2. 电子时钟!!!!!!!
  3. 所属分类:源码下载

    • 发布日期:2011-07-21
    • 文件大小:364019
    • 提供者:mzpstar
  1. 1602+DS1302电子时钟

    1下载:
  2. 1602+DS1302设计的电子时钟,单片机采用89c51
  3. 所属分类:源码下载

    • 发布日期:2011-08-23
    • 文件大小:196829
    • 提供者:hanfeng_1002
  1. 电子时钟温度计

    0下载:
  2. 数字电子时钟温度计,1602液晶显示。
  3. 所属分类:其他小程序

  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. DY3208clock-V4.1 点阵32*8的电子时钟源程序

    0下载:
  2. 点阵32*8的电子时钟源程序,挺详细的,c语言-32* 8 dot matrix electronic clock source, very detailed, c language
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-23
    • 文件大小:79113
    • 提供者:idealpursuer
  1. miaobiao 简单的四个数码管显示的四位的秒表电子时钟

    1下载:
  2. 这是我做的一个简单的四个数码管显示的四位的秒表电子时钟。带有三个按键,开始,停止,清零-This is I do a simple four digital pipe display four stopwatch electronic clock. With three key, start, stop, reset
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-01-26
    • 文件大小:37888
    • 提供者:胡瑞锋
  1. last.rar

    1下载:
  2. 本设计主要是运用单片机设计一个最小系统——电子时钟,它具有24小时计时功能,能够对时间进行调整,并且能实现时、分、秒的显示,同时还有内设闹钟,时间到时候会响铃,响铃时可以通过按键控制延时再启动或关断。与此同时还设置了整点报时功能;并采用采用了软件去抖的方法扫描键盘,动态刷新显示数码管,并通过查表实现译码输出时间等编程方法;,This design is the use of single-chip design of a minimum system- Electronic clock, it
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-04-01
    • 文件大小:2917
    • 提供者:江俊
  1. f_556014_32092.rar

    0下载:
  2. 1.用汇编语言编写程序,使其能实现在PC机上用电子时钟时、分、秒的格式显示时间的功能。 2.能用键盘输入的方法修改电子时钟的时间。 ,1. Using assembly language programming to enable them to achieve in the PC, electronic clock with hour, minute, second format shows the function of time. 2. Keyboard input method ca
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:21273
    • 提供者:李丽
  1. clock.rar

    0下载:
  2. 单片机电子时钟,6数码管显示功能。并具有时间调节功能。,Single-chip electronic clock, 6 digital tube display. And have the time adjustment function.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:641
    • 提供者:sacxon
  1. proteus.rar

    1下载:
  2. 电子时钟的单片机设计,led 数码管显示等用portues的仿真,AD、DA转换。计算器的仿真,on
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1107644
    • 提供者:chen
  1. Timer.rar

    0下载:
  2. 是基于51单片机的电子时钟程序(汇编代码),可以用按键调整时、分、秒;,Is based on 51 single-chip electronic clock procedures (assembly code), can use keys to adjust hours, minutes, seconds
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:1984
    • 提供者:代云启
  1. CLOCK.rar

    0下载:
  2. 51单片机的电子时钟程序,用汇编编的,通过数码管显示,51 Single-chip electronic clock procedures, there is a compilation series
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:518
    • 提供者:
  1. ds1302

    0下载:
  2. 用时钟芯片ds1302,at89c51制作电子时钟,有语言代码,Proteus仿真 -ds1302,at89c51,electritical clock,c lauguage,proteus
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:34149
    • 提供者:zzj
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com