CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 电子时钟

搜索资源列表

  1. 时钟和脸形图显示

    0下载:
  2. 这是一个网上电子表,双击可执行程序CLOCKNEW.exe,便可看到一张笑脸和与系统时间同步的电子时钟,按任意键退出!-This is an online electronic watches, double-click the executable CLOCKNEW.exe, we will see a smile and time synchronization system with the electronic clock, according to withdraw from the
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7282
    • 提供者:余育全
  1. pcf8563时钟数码管显示

    0下载:
  2. 利用PCF8563定时,数码管显示时间,实现电子时钟的功能-Using PCF8563 timing, digital display of time, to achieve the function of electronic clock
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2011-05-15
    • 文件大小:1444
    • 提供者:apple4eyre
  1. 数码管扫描显示数字时钟程序

    0下载:
  2. 多能能电子钟自编程序,数码管扫描显示,3按键可调节时间
  3. 所属分类:源码下载

    • 发布日期:2011-05-24
    • 文件大小:1630
    • 提供者:luoboart
  1. DS12C887.rar

    0下载:
  2. 基于ds12c887的电子时钟的C语言程序,Based on the electronic clock ds12c887 the C Language Program
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1408
    • 提供者:Jason
  1. clock

    0下载:
  2. 万年历与电子时钟的VHDL程序设计,万年历与电子时钟的VHDL程序设计-clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19175
    • 提供者:徐生
  1. clock

    0下载:
  2. 基于VHDL的电子时钟设计-VHDL-based design of an electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241827
    • 提供者:peter
  1. vhdl-digital-clock-design

    0下载:
  2. 设计一个具有特定功能的数字电子钟。准确计时,以数字形式显示h、min、s 的时间。小时的计时要求为二十四进位,分和秒的计时要求为六十进位。 该电子钟上电或按键复位后能自动显示系统提示00-00-00,进入时钟准备状态;第一次按电子钟功能键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟功能键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按功能键再次进入时钟运行状态。 -Designed with a specific function of a dig
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:6365
    • 提供者:andy
  1. clock

    0下载:
  2. 时钟,利用8253实现在显示器上显示XX(时):XX(分):XX(秒)。-Clock, the use of 8253 to achieve in the display shows XX (when): XX (points): XX (seconds).
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1107
    • 提供者:lsn
  1. rili

    0下载:
  2. 51单片机电子日历(电子时钟)程序 硬件实验箱是伟福LAB2000实验箱 电子日历,有时间显示、闹铃、日期、秒表及键盘设置功能-51 Single-chip electronic calendar [electronic clock] procedure experimental boxes are hardware-wei Fu LAB2000 experimental boxes of electronic calendars, time display, alarm, date,
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:5234
    • 提供者:蔡娜
  1. LAB5

    0下载:
  2. 51单片机上开发的电子时钟送LED显示时分秒,具有设置时间,暂停,清零等功能-The development of 51 single-chip clock to send electronic LED display minutes and seconds, with a setup time, suspended the functions of zero
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:1569
    • 提供者:siubr
  1. time

    0下载:
  2. 实现时钟计时的功能,使其成为一个具有语音播时的电子时钟,在这个电子时钟中具有了计时、设置闹铃、语音播放的功能-To achieve the function of clock time, making it a broadcast voice of the electronic clock when, in this electronic clock with the time, set alarm, voice broadcast function
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:2690
    • 提供者:徐姗姗
  1. clock

    0下载:
  2. 电子时钟,具备电子秒表功能,万年历功能,基于51开发,实验通过-Electronic clock
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:52452
    • 提供者:qin
  1. clock

    0下载:
  2. 51单片机电子时钟源码,数码管显示,经过实物验证-51 single-chip electronic clock source, digital display, after the physical verification
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:15169
    • 提供者:dream
  1. 568

    0下载:
  2. 新颖60S旋转电子时钟,详细的源程序和解释说明-new 60S electronic clock
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:291581
    • 提供者:张路
  1. 1602LCDDS1302

    0下载:
  2. 1602LCD液晶模块+DS1302实时时钟单片机试验程序-1602LCD LCD+ DS1302 real time clock module test procedures for single-chip
  3. 所属分类:SCM

    • 发布日期:2017-05-20
    • 文件大小:6128583
    • 提供者:tangzong
  1. eclock

    0下载:
  2. 编译时请将个头文件 添加在一起 , 该程序实现 可调的电子钟,没有使用时钟芯片,对时分秒,年月日,星期 都可以调,还有掉电保护的功能,新手-Compile-time file with your head, the program to achieve adjustable electronic bell, do not use the clock chip, minutes and seconds of time, date, week, can be transferred, as we
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:2247
    • 提供者:gjp_rain
  1. 222

    0下载:
  2. 温度传感和电子时钟(利用红外遥控调节),同时显示在led1602上,用52单片机做,c语言写,强大.QQ872921392-Temperature sensing and electronic clock regulating the use of infrared remote control, at the same time displayed on the led1602 with 52 single-chip microcomputer to do, c language, stron
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:40023
    • 提供者:bobo
  1. DS1302

    0下载:
  2. DS1302显示时钟的单片机程序,在PROTEUS中调试,1602LCD显示-Shows the DS1302 single-chip clock procedures, in PROTEUS debug, 1602LCD show
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:316440
    • 提供者:拉呜
  1. shuzizhong

    0下载:
  2. 普通定时器时钟 1、按键可以调整当时时间 2、按键可以调节定时时间 3、定时时间到继电器吸合 *8位数码管显示 时间格式格式 12-08-00 标示12点08分00秒 S1 用于小时 加1操作 S2 用于小时减1操作 S3 用于分钟 加1操作 S4 用于分钟减1操作 */ /*----------------------------------------------- 名称:普通时钟 公司:上海浩豚电子科技有限公司
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:14816
    • 提供者:hahammc
  1. DIGITALCLOCK

    0下载:
  2. 电子时钟,驱动8个数码管显示,带设置功能-Electronic clock, drive 8 digital tube display, with set functions
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:3844
    • 提供者:firehood
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com