CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 相位差

搜索资源列表

  1. xiangweicha

    0下载:
  2. 相位差可调信号发生器单片机程序,希望对初学者有帮助-Adjustable phase difference signal generator chip program, hope helpful for beginners! ! ! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:124822
    • 提供者:小韦
  1. phase_measure

    1下载:
  2. 关于用FPGA测量数字信号源相位差的源代码。用的是verilog语言-FPGA on the use of digital signal phase difference measurement of the source code. Using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1698
    • 提供者:张君
  1. frequency-digital-phase-measuring-

    0下载:
  2. 低频数字式相位测量仪,数码管显示相位差,精度为0.1-Low frequency digital phase measuring instrument, digital pipe display phase difference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3288564
    • 提供者:覃祖枢
  1. ANOlog_TMS320F28335

    6下载:
  2. 本装置采用单相桥式DC-AC逆变电路结构,以TI公司的浮点数字信号控制器TMS320F28335 DSP为控制电路核心,采用规则采样法和DSP片内ePWM模块功能实现SPWM波。最大功率点跟踪(MPPT)采用了恒压跟踪法(CVT法)来实现,并用软件锁相环进行系统的同频、同相控制,控制灵活简单。采用DSP片内12位A/D对各模拟信号进行采集检测,简化了系统设计和成本。本装置具有良好的数字显示功能,采用CPLD自行设计驱动的4.3’’彩色液晶TFT LCD非常直观地完成了输出信号波形、频谱特性的在线
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-24
    • 文件大小:5020186
    • 提供者:徐徐
  1. myphase

    2下载:
  2. 计算信号间的相位差,读入信号矩阵,利用FFT计算方法估计信号的互谱密度,从而计算信号间的相位差-calculator the phase between the signals
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-05-07
    • 文件大小:1024
    • 提供者:X. L
  1. DDSKeyAndLcd

    0下载:
  2. 基于SPCE061A的DDS 步进20Hz,两路输出,相位差可调,频率可到20多K,加上低通滤波,效果还不错.完全达到03年,电赛"相位测量仪"发挥部分波形发生器的要求. 程序中,频率初始值,相位差初始值通过键盘输入,液晶是KS0108的驱动芯片,如果液晶不一致,需要做相应的调整.-DDS base on SPCE061A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:80760
    • 提供者:
  1. chuanglong

    0下载:
  2. 基于相位差法改变窗长的频谱校正程序,适用于单频率信号的校正-Phase method to change the window length based on the spectrum correction procedure for a single frequency signal correction
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:890
    • 提供者:zuoyunxiao
  1. qpsk

    0下载:
  2. 为了使数字信号在有限带宽的高频信道中传输,必须对数字信号进行载波调制。就频带利用率和抗噪声性能(或功率利用率)两个方面来看, QPSK系统最佳。QPSK是利用载波的四种不同相位差来表征输入的数字信息,是四进制移相键控(QPSK)。本项目用MATLAB软件对四进制移相键控信号在AWQN信道中传输进行了建模和仿真,通过对仿真得到的误码率和理论误码率进行比较和分析,从而证明了MATLAB软件仿真QPSK调制解调的可行性。-In order to make the digital signal in t
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:2716
    • 提供者:黄兰凤
  1. chenxu

    0下载:
  2. 相位差测量程序,利用单片机附加外围器件实现相位差的测量。-Phase difference measurement procedures using microcontroller additional peripheral devices to achieve the phase difference measurement.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:18300
    • 提供者:wwww
  1. FindZeroes

    0下载:
  2. 在过零检测过程中,找过零点,并以此来进一步计算相位差等-In the zero-crossing detection to find the zero crossing, and to calculate the phase difference
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:606
    • 提供者:wei wang
  1. All-phase--correction-method

    0下载:
  2. 全相位时移相位差频谱校正法的文章,帮助大家学习。-All phase shift the phase spectrum correction method, article, and help them to learn
  3. 所属分类:matlab

    • 发布日期:2017-05-03
    • 文件大小:1099986
    • 提供者:刘坤媛
  1. bian-cong-pin-xinhao

    1下载:
  2. 研究了重频参差相参脉冲串的频率估计算法。通过脉内相关积累,提高了新序列的信噪比。对新序列的相位差按参差重数抽样平均,减小了等效的相位噪声方差,利用重频参差比解相位模糊,扩大了频偏允许范围,降低了算法的信噪比门限。分析了本算法实现相参频率估计的条件,推导了相应信噪比门限的解析表达式,指出了信噪比门限与信号样本总数、参差重数、参差比之阎的关系。仿真结果表明:上述结论是正确的,在满足信噪比门限条件下,频率估计的精度接近相参脉冲串频率估计的克拉美一罗限(CRLB)。-Frequency Estimati
  3. 所属分类:Other systems

    • 发布日期:2016-04-20
    • 文件大小:354304
    • 提供者:江虹旭
  1. FFxiangweicha

    0下载:
  2. 基于傅里叶分析的信号延时测量,采用FFT方法,对同一频率的两个信号进行频谱分析,测得相位差-Delay measurements based on Fourier analysis of signals using the FFT method for spectral analysis of the two signals of the same frequency, the measured phase difference
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:11167
    • 提供者:sunzhenyong
  1. correlation

    1下载:
  2. 采用互相关法计算相位差程序。用小波对信号进行消噪,再用互相关法检测两个信号的相位差。-Using correlation method to calculate the interval phase of two signals. Wavelet method is used for signal denoising, and then the interval phase of two signals is detected by correlation method.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:4126
    • 提供者:张扬
  1. FFT_WINDOW_NEW

    0下载:
  2. 快速傅里叶变换法测量两列正弦信号的相位差。-FFT measurement phase
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:731
    • 提供者:旭阳
  1. phase_fft

    0下载:
  2. 实现对两路同频正弦波信号fft变换求相位差,可以在dsp中仿真使用-To achieve the two frequency sine wave signal fft transform and phase difference, and dsp simulation use. . .
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:1031
    • 提供者:阿少
  1. Pulse-signal-process

    0下载:
  2. 可以实现一下四项指标的程序1.设计8路脉冲信号发生器,其脉宽和频率均可调。 2.其中6路信号的频率同步可调,频率范围在0.1HZ-1MHZ,步进值自行设置。 3.其中2路信号的频率相同,但有相位差,2路信号的相位差用按键可调,步进值自行设置。 4. LED显示器上自动显示信号的频率值。-Can achieve the four indicators of the program. Design 8-channel pulse signal generator, the pulse w
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:5267
    • 提供者:胡伟红
  1. Frequency-phase-measuring-instrument

    0下载:
  2. 实现两路同频不同相的信号频率和相位差的测量-Two-way with the frequency of the different phases of the signal frequency and phase measurement
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:309261
    • 提供者:刘欣
  1. ATmega8-SPWM

    0下载:
  2. 利用atmega8生成三相spwm波形,三相120°相位差.利用rc滤波即可用示波器观察波形. -Use atmega8 to generate three-phase spwm waveform, three-phase 120 phase difference. Rc filter to observe the waveform with an oscilloscope.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:33741
    • 提供者:徐阳
  1. counter_mu_over

    0下载:
  2. 通过fpga实现多功能计数器,从而进行测频,测相位差,占空比-Fpga realization of multifunction counter, frequency measurement, the measured phase, duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3847628
    • 提供者:wang dong
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com