CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 移位寄存器

搜索资源列表

  1. Berlekamp_Massey

    1下载:
  2. 移位寄存器中的基础算法,Berlekamp Massey算法-The basis of the shift register algorithm, Berlekamp Massey algorithm
  3. 所属分类:Algorithm

    • 发布日期:2017-05-10
    • 文件大小:2235115
    • 提供者:李东辉
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. PRBS

    1下载:
  2. 用带反馈通道的移位寄存器产生PRBS序列信号。要选择合适的通道,经模二加法后进行反馈。在程序中,移位寄存器个数可从2到13中任意选择,其长度也可以自由选择。运行结果在vc++的运行环境中能看得很清楚,最后产生的M序列数据也存在相应的数据文件中。-Used with feedback channel shift register sequence generated PRBS signal. Please select the appropriate channels, by mode after
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:7373
    • 提供者:李明哲
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1183
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,电子书。-Pseudo-random sequence generator- on behalf of binary feedback shift register, e-books.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:707047
    • 提供者:李辛
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more complex, there are some proble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2628
    • 提供者:lijq
  1. 16X64dianzhen

    0下载:
  2. 16*64点阵程序,运用串行传输数据,移位寄存器接收数据,硬件电路连接简单-16* 64 lattice procedures, the use of serial transmission of data, receive data shift register, hardware circuits connected simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:39474
    • 提供者:qiang
  1. bid_shift_reg

    0下载:
  2. VHDL语言编写,实现双向移位寄存器功能,在MAX+plus软件下实现-VHDL language to achieve bi-directional shift register function MAX+ Plus software to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2080355
    • 提供者:画眉
  1. zj

    0下载:
  2. vhdl编程的,移位寄存器,八位,支持左移,右移-VHDL programming, shift register, 8, support the left, shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10896
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器 左移动和右移动-VHDL Programming shifter left and right moving mobile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:918
    • 提供者:wangjun
  1. j

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2080355
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2628
    • 提供者:wangjun
  1. RL_SHIFT

    0下载:
  2. 带有同步预置的加载左右移位寄存器VHDL源代码-With synchronous preset load shift register about VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:150475
    • 提供者:sunrier
  1. 646346666

    0下载:
  2. 一种基于移位寄存器的CAM的VHDL实现,提供下载.-A shift register based on the CAM of VHDL, providing download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:69467
    • 提供者:张大明
  1. MxIterative

    0下载:
  2. 该问题是线性移位寄存器的综合问题提出的,给定一个N长的 二元序列,如何求出产生这一序列的级数最小的线性移位寄存 器,即最短的线性移位寄存器 -The problem is that the linear shift register integrated question, given a N-long binary sequences, how to derive the sequence of series have the smallest linear shift regis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:960
    • 提供者:倪晨
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. AD0809

    0下载:
  2. 驱动AD0809并且LED显示,LED用移位寄存器连-AD0809 driver and LED display, LED even with shift register
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1232
    • 提供者:任铁
« 1 2 3 4 5 6 78 9 10 11 12 ... 28 »
搜珍网 www.dssz.com