CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 移位寄存器

搜索资源列表

  1. lfsr

    0下载:
  2. 线性反馈移位寄存器的matlab实现,可以任意设置抽头(抽头数小于等于3)-matlab implementation of Linear feedback shift register, you can set no more than three taps
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:2562
    • 提供者:tnt
  1. 74HC595

    0下载:
  2. 用于点真的扫描,的一样移位寄存器芯片,很好用的,能够帮助要用的人们使用!-dongxu
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:152034
    • 提供者:东旭
  1. 8051_164_led

    0下载:
  2. 利用8051串行口,和并行输出串行移位寄存器74LS164扩展一位数码显示在数码显示器上循环显示0-9这10个数字。-Using 8051 serial port, and parallel output serial shift register 74LS164 expansion of a digital display on the cycle in the digital display shows the 10 figure 0-9.
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:14057
    • 提供者:朱重生
  1. faguangerjiguan

    0下载:
  2. 用89C51串行口外接CD4094移位寄存器扩展8位并行口,8位并行口的每位都接一个发光二极管,要求发光二极管从左到右以一定延迟轮流显示,并不断循环。-Using 89C51 external serial port expansion CD4094 shift register 8-bit parallel port, 8-bit parallel port of each one, all light-emitting diodes, light emitting diodes requir
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:734
    • 提供者:wfq
  1. 8-way-control-lantern

    0下载:
  2. 8路移存型彩灯题目要求两种花型,本次实验分别实现这两种花型,它的设计主要采用74194接成扭环形结构的移位寄存器来实现,整个电路主要由编码发生器、控制电路、脉冲发生器构成可以实现控制8个以上的彩灯,并且可以组成多种花型。 -8 subject lantern-type shift registers require two flower type, respectively, the experimental realization of the two flower types, it i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:551
    • 提供者:周游
  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端与非门 HIT/TI   CD4012 双4输入端与非门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422625
    • 提供者:徐科峰
  1. shfrt

    0下载:
  2. 改程序的设计的是带有并行置位的移位寄存器-Reform process is designed home with a parallel-bit shift register
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:162212
    • 提供者:luofeng
  1. SystemViewexample

    0下载:
  2. system view 实例模型包含数字调制QPSK,QAM.RICE衰落信道的仿真 数字频率合成器 线性移位寄存器 -system view instance of the model consists of digital modulation QPSK, QAM.RICE fading channel simulation of digital frequency synthesizer linear shift register, etc.
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1773568
    • 提供者:mavis
  1. f48

    0下载:
  2. 描述了48分频的功能的原理图,使用16位移位寄存器来实现-48 describes the frequency of the schematic diagram of the function, the use of 16-bit shift register to achieve
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2405
    • 提供者:影子
  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
  1. ADF4360-7(350-1800)

    0下载:
  2. 介绍了ADF4360-8芯片的功能、内部结构、引脚排列及典型的应用电路及其评估板。ADF4360-8是集成的整数N合成器和压控振荡器(VCO)。芯片内嵌一个基准输入部分、N计数器和R计数器、相位频率检波器(PFD)和充电泵、多路复用器和锁定检波器、输入移位寄存器、控制锁存器、N计数锁存器、R计数锁存器。它可用于产生系统时钟,作为测试设备,用于无线局域网(LAN),作为闭路电视(CATV)设备。ADF4360-8EB1评估板可以让用户评估ADF4360-8频率合成器PLL的性能。 -Intro
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-03-29
    • 文件大小:400131
    • 提供者:庄乾章
  1. vhdl

    0下载:
  2. 通用寄存器,移位寄存器,简单状态机,直流电机控制器,-General registers, shift register, a simple state machine, DC motor controllers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:17377
    • 提供者:thqchinaxy
  1. xuliemime

    0下载:
  2. 线性反馈移位寄存器LFSR生成随机序列的原理,序列密码的加密过程-Linear feedback shift register LFSR to generate random sequence of the principle of the encryption cipher
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2015-04-21
    • 文件大小:1531
    • 提供者:bluerain
  1. shiftregister_32

    0下载:
  2. 长度为8的32bit串入并出移位寄存器,它的功能就是对32bit的并行信号作串行输入,并行输出处理-Length of 8 for 32bit serial in parallel out shift register
  3. 所属分类:GIS program

    • 发布日期:2017-04-05
    • 文件大小:805
    • 提供者:林伟
  1. ds1302

    0下载:
  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。-74HC595 is an 8-bit shift register and a memory, three-state output.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:192551
    • 提供者:zhangqiang
  1. homework32

    0下载:
  2. 这是32位移位寄存器,是用verilog编写的,能够实现从1到31位的左或右的移位-This is a 32-bit shift register, is prepared verilog, can be realized from the 1-31 shift left or right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1681
    • 提供者:杨恋
  1. HC595clock

    0下载:
  2. 这是一个使用了8位移位寄存器HC595的电子钟程序,里面包括了仿真程序。以及HC595的操作说明。-This is a used 8-bit shift register of the electronic bell HC595 procedures, which include the simulation program. As well as instructions HC595.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:43444
    • 提供者:liuwei
  1. UniversalRegister

    0下载:
  2. 这种设计是一个普遍的登记册可作为一个简单的存储登记,双向移位寄存器,计数器的行动和反跌。登记册可以载入了一套并行数据输入和模式是由3位输入。-This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter. The register can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:748
    • 提供者:徐礼静
  1. biansedengtiao

    0下载:
  2. 汇编语言-变色数码灯管 使用移位寄存器实现跳变功能-biansedengtiao
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:761
    • 提供者:fangcheng
  1. 8LEDnixietubeelectronclockprocedure

    0下载:
  2. AT89C2051只有15个IO口,要显示8位数码管有点吃力,必需扩展,所以找来74HC595串转并移位寄存器,一个做段显一个做位选,只用三个IO口就解决了8+8的显示,如果要再多一点也不怕,不过2051只有2K的存贮空间,也限制了显示位数。DS1302占用3个IO,这样一个P1只用了6个IO,还有两个空着,准备设置一个LED感应自动开关和一个DS18B20,如果程序大小允许再加入红外摇控,把LED显示做大一点,就是一款实用的电子钟。-AT89C2051 only then 15 IO mout
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:12658
    • 提供者:莫伦伟
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 28 »
搜珍网 www.dssz.com