CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 等精度频率计

搜索资源列表

  1. 采用等精度测频原理的频率计的程序与仿真

    1下载:
  2. 采用等精度测频原理的频率计的程序与仿真
  3. 所属分类:Windows编程

  1. RLC

    0下载:
  2. 本文所设计的系统是基于AT89C52单片机控制的简易RLC测试仪。为了充分利用单片机的运算和控制功能,方便的实现测量。把参数R、L、C转换成频率信号f,然后用单片机计数后再运算求出R、L、C的值,并送显示。 转换的原理分别是RC振荡电路和电容三点式振荡电路。为了比较准确的测试而频率的计数则是利用等精度数字频率计完成。然后再将结果送单片机运算,并在LED显示器上显示所测得的数值。通过一系列的系统调试,本测试仪到达了测试标准。经过测试, -In this paper, the system d
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:533767
    • 提供者:马天
  1. plji

    0下载:
  2. 单片机 语言编写 注释详细 7.8等精度数字频率计的实现-Singlechip languages, such as the accuracy of the Notes 7.8 Details of the realization of digital frequency
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:1591
    • 提供者:sunjiazhong
  1. cymometer

    0下载:
  2. 基于89C51的等精度频率计LCD1602显示,附带proteus仿真原理图以及原程序!-89C51-based, such as the accuracy of the frequency meter LCD1602 display, incidental Proteus schematic simulation, as well as the original program!
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:160633
    • 提供者:123456
  1. frequency

    0下载:
  2. 一种等精度的频率计,同时适合高频和低频,误差小。-A precision frequency meter, etc. At the same time, suitable high-frequency and low frequency, the error small.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1244
    • 提供者:邹国雄
  1. SOC

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:208976
    • 提供者:张林锋
  1. C

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:324927
    • 提供者:张林锋
  1. plj

    0下载:
  2. 基于FPGA的等精度数字频率计实现等精度的频率计-To achieve precision frequency meter, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:123330
    • 提供者:wangyuansong
  1. LowFreCounter

    0下载:
  2. 实现对低频信号进行等精度测量的频率计verilog hdl代码-Realization of low-frequency signals, such as precision measurement of the frequency code verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:2466293
    • 提供者:周洪昌
  1. 20096.28

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:126516
    • 提供者:
  1. pljtest

    0下载:
  2. CYCLONE II 内嵌8051IP核实现等精度频率计-CYCLONE II embedded nuclear 8051IP achieve precision frequency meter, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4552737
    • 提供者:吴宏伟
  1. gfdfgbb

    0下载:
  2. 设计一个简易等精度频率计 信号:方波 频率:1Hz~1MHz-Design a simple and other precision frequency meter signal: square wave frequency: 1Hz ~ 1MHz
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1018749
    • 提供者:方大牙
  1. plj

    0下载:
  2. --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 --最后修改日期:2004.4.14。 -- File Name: PLJ.vhd.- Function: 4 display of equal precision frequency meter.- Last modified date: 2004.4.14.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:989
    • 提供者:mao
  1. djdpvj

    0下载:
  2. 基于89c51单片机的等精度频率计C语言代码-it is C code of 89C51
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:39351
    • 提供者:叶程
  1. fre

    0下载:
  2. 等精度频率计VHDL语言程序 等精度频率计VHDL语言程序-VHDL language and other precision frequency meter frequency meter accuracy procedures VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:544446
    • 提供者:yan frank
  1. djdplj

    0下载:
  2. 等精度频率计测量 输入标准频率信号和输入信号,在闸门时间内对其进行测量-And other precision frequency standards for measuring the input frequency signal and the input signal, the gate time to measure its
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:520682
    • 提供者:kaikai
  1. Precision-frequency-meter--etc.

    0下载:
  2. 等精度频率计 用于频率计的制作的应用软件-Precision frequency meter, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1003354
    • 提供者:zz
  1. 11

    0下载:
  2. 等精度频率计,verilog语言写的,可在开发板上验证,已经试过-And other precision frequency meter, verilog language, and can be verified on the development board, has tried
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-04
    • 文件大小:1259520
    • 提供者:谷向前
  1. Measured-phase-shift-FPGA

    0下载:
  2. 数字频率计是用于测量信号频率的电路。测量信号的频率参数是最常用的测量方法之一。实现频率测量的方法比较多, 在此我们主要介绍三种常用的方法: 时间门限测量法、标准频率比较测量法、等精度测量法-Digital frequency meter is used to measure the frequency of the circuit. Measuring signal frequency parameter is the most common measurement methods. The m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6385730
    • 提供者:chenfeihu
  1. Equal-precision-frequency-meter

    0下载:
  2. 等精度频率计,测频范围为1~50MHZ,可测脉宽-Main measurement frequency, pulse width equal precision frequency meter.Measuring frequency range is between 1hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:298698
    • 提供者:叶洋
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com