CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. ASKDASK

    0下载:
  2. ask调制,基于VHDL仿真平台,解调同样给出,此程序经过验证-ask modulation, based on VHDL simulation platform, demodulator is the same, this procedure proven
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97025
    • 提供者:we
  1. AskPsk

    0下载:
  2. ask psk 编码调制的vhdl 实现-ask psk coded modulation to achieve the VHDL
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:68163
    • 提供者:王发
  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. PL_FSK

    0下载:
  2. 数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块-Digital Communication System Communication System modulation and demodulation (PL_FSK) VHDL modeling, including sending and receiving modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:187851
    • 提供者:万金油
  1. FSKmodemodulateVHDLprogramme

    0下载:
  2. FSK调制与解调的vhdl源代码与仿真指导,是word文档打开。-FSK modulation and demodulation of VHDL source code and simulation of the guide is the word document open.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:52214
    • 提供者:吴涛
  1. FSKVHDL

    0下载:
  2. VHDL语言编写的程序,实现FSK调制与解调及仿真-VHDL prepared by the procedures, FSK modulation and demodulation and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:52186
    • 提供者:wang
  1. ASK1

    0下载:
  2. ASK调制程序 基于VHDL,应用于QUARTUS ,不妨下载
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:863
    • 提供者:liguang
  1. ppm

    1下载:
  2. 利用VHDL语言编写程序,实现PPM的调制功能,大家一起完善!
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1329
    • 提供者:陈晓红
  1. mfsk

    0下载:
  2. vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:1105
    • 提供者:mzizai
  1. MASK_MODULATION_CODE

    0下载:
  2. MASK调制VHDL程序_好用_测试正确-The MASK VHDL program with _ _ modulation test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:796
    • 提供者:于工
  1. ASK_modulation_code

    0下载:
  2. ASK调制VHDL程序,好用,已测试通过-ASK modulation VHDL program, easy to use, has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:636
    • 提供者:于工
  1. CPSK_modulation_code

    0下载:
  2. CPSK调制VHDL程序,测试正确,已使用-CPSK modulation VHDL procedures, the test is correct, has been used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:691
    • 提供者:于工
  1. BPSK

    0下载:
  2. BPSK信号的载波调制,包含成型滤波器,上采用器以及载波生成器。(This file provides a transmitter based on BPSK signal, including shaping filter, upsampler and carrier generator.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:12921856
    • 提供者:wangke
  1. VHDL2FSK

    1下载:
  2. VHDL 2FSK调制解调器各部分的原理与代码(The principle and code of each part of the VHDL 2FSK modem)
  3. 所属分类:VHDL/FPGA/Verilog

  1. VHDL源码

    0下载:
  2. 实现数字调制系统仿真,对QPSK调制系统的误码率仿真方法(digital modulation simulation)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-09
    • 文件大小:2397184
    • 提供者:wagmh
  1. 8.10 FSK调制与解调VHDL程序及仿真

    0下载:
  2. FSK调制与解调VHDL程序及仿真,功能:基于VHDL硬件描述语言,对基带信号进行FSK调制(FSK modulation and demodulation VHDL program and simulation, function: Based on the VHDL hardware descr iption language, the baseband signal is modulated by FSK)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-30
    • 文件大小:51200
    • 提供者:少年高飞
  1. pwm_ok_PWM产生器

    0下载:
  2. 用于产生占空比任意可调的PWM产生器。PWM,即Pulse-Width Modulation 脉宽调制,常用于电机的控制中。(It is used to generate any adjustable PWM generator. PWM, namely Pulse-Width Modulation pulse width modulation, is commonly used in motor control.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-02
    • 文件大小:172032
    • 提供者:jqhnfin
  1. lab2_breathingLED

    1下载:
  2. 通过vhdl语言,通过进行wpm调制,在小脚丫实验板上面实现呼吸灯,可调周期与脉宽(Through the VHDL language, through the WPM modulation, the breathing lamp can be realized on the small foot board, and the cycle and pulse width can be adjusted.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-11-09
    • 文件大小:2267136
    • 提供者:lzbaobao
  1. FSK调制的FPGA实现

    1下载:
  2. 使用DDS核实现cpfsk的VHDL设计,采样频率fs为32Rb
  3. 所属分类:硬件设计

  1. ppm

    1下载:
  2. 使用fpga达成的最短脉冲检测、窄脉冲成型模块程序,语言为vhdl,可用于ppm调制与解调项目设计(The program of the shortest pulse detection based on FPGA is VHDL, which can be used in PPM modulation and demodulation project design)
  3. 所属分类:其他

« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 17 »
搜珍网 www.dssz.com