CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. CPFSK

    0下载:
  2. CPFSK调制的相关资料文档,有一定的参考意义。-CPFSK modulation information documents, there is a certain reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:578694
    • 提供者:niuniu
  1. ask

    0下载:
  2. 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:806
    • 提供者:lihao
  1. ask_fsk

    0下载:
  2. 数字通信系统振幅键控ASK信号和频移键控FSK的调制与解调的VHDL代码-ASK amplitude shift keying digital communication system signal and the frequency shift keying modulation and demodulation of the VHDL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1692
    • 提供者:lihao
  1. cpsk

    1下载:
  2. 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:68644
    • 提供者:王岩嵩
  1. fsk

    0下载:
  2. 用VHDL硬件语言编写FSK调制解调系统,经仿真通过-VHDL hardware language using FSK modulation and demodulation system, the simulation through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:74217
    • 提供者:王岩嵩
  1. pwm

    0下载:
  2. 运用FPGA 产生pwm脉宽调制信号的源代码-use fpga generate pwm signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2425872
    • 提供者:任彩虹
  1. qam_64

    1下载:
  2. 64QAM调制,采用硬件语言verilog实现,其中调用了DDS的IP核-64QAM modulation, using language verilog hardware implementation, which is called the IP core of the DDS
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2015-01-16
    • 文件大小:1055
    • 提供者:zhujing
  1. verilog_16QAM

    2下载:
  2. 使用verilog实现全数字16QAM调制器,载波频率1MHZ,数据比特流的速率为100Kbps,-the modulation of 16QAM based on FPGA
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-05
    • 文件大小:2056
    • 提供者:杨明
  1. DATA_16QAM_MAP

    0下载:
  2. 用于WLAN 802.11a的OFDM发射机的数字调制16QAM-For WLAN 802.11a transmitter of the OFDM digital modulation 16QAM
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-30
    • 文件大小:1166
    • 提供者:
  1. fangz

    1下载:
  2. 数字通信系统的仿真,包括调制解调,上下变频,加入了高斯白噪声,并且每个步骤都生成相应的图形-Digital communication system simulation, including the modulation and demodulation, the upper and lower frequency, by adding Gaussian white noise, and each step generates the appropriate graphics
  3. 所属分类:DSP program

    • 发布日期:2017-02-08
    • 文件大小:34577
    • 提供者:maominchao
  1. tiaozhijietiaoqi

    0下载:
  2. 本例子设计了调制解调器的VHDL代码,改模块完全使用文本输入-This example is designed modem VHDL code, and changed completely the use of text input module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:853773
    • 提供者:小陈
  1. VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行调制,仿真和设计-VHDL hardware descr iption language based on the base-band signal modulation, simulation and design
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-10
    • 文件大小:1453
    • 提供者:vivi
  1. Study_on_Key_Technologies_of_n4-DQPSK_Modulation_a

    0下载:
  2. 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5458230
    • 提供者:cai
  1. PSK-VHDL

    0下载:
  2. PSK调制与解调VHDL程序及仿真,仿真通过-PSK modulation and demodulation process, and VHDL simulation, simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:73004
    • 提供者:海玲
  1. CCK

    0下载:
  2. 基于FPGA的CCK基带调制解调技术的研究实现-CCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8018028
    • 提供者:正非
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. fsk_modem_design

    0下载:
  2. fsk调制解调器,仿真并FPGA下载测试正确,供大家交流!-fsk modem, simulation and FPGA download the test correctly for all to share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6285
    • 提供者:wangsy1201
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com