CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. dayin

    0下载:
  2. 该程序利用vhdl语言,采用查表法实现am调制,此方法简洁又有效-The program using vhdl language, using look-up table method to achieve am modulation, this method is simple and effective
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:66578
    • 提供者:rain
  1. qpsk

    0下载:
  2. 实现qdpsk数字调制,应用的是相位选择法进行调制-Achieve qdpsk digital modulation, the phase selection method is applied to modulate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3159
    • 提供者:king
  1. 2-ask

    0下载:
  2. 2-ASK调制解调的FPGA实现。ASK-TWO为调制程序,two-ASK为解调程序。-2-ASK modulation and demodulation of the FPGA. ASK-TWO for the modulation process, two-ASK for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1238
    • 提供者:张维
  1. 2-fsk

    1下载:
  2. 2-fsk调制解调的fpga实现。two-fsk为调制程序,fsk-two为解调程序。-2-fsk modulation and demodulation of fpga implementation. two-fsk for the modulation process, fsk-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1261
    • 提供者:张维
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. QPSK_modulator_demodulator

    0下载:
  2. Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to loc
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:松松
  1. tiaozhijietiaoqi

    0下载:
  2. 调制解调器(全数字)vhdl程序,包含工程文件可直接编译-Modem (digital) vhdl program, including project files can be directly compiled
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:644446
    • 提供者:赵童
  1. wireless_communication

    0下载:
  2. 无线通信调制解调用的verlog和matlab程序,很大很实用。-Wireless modem calls verlog and matlab program, very very practical.
  3. 所属分类:Modem program

    • 发布日期:2017-03-25
    • 文件大小:537006
    • 提供者:吴雷
  1. GPS

    0下载:
  2. 用verilog 编写的gps系统调制解调器,很大很实用-Gps system prepared with verilog modem, very very useful
  3. 所属分类:Modem program

    • 发布日期:2015-11-10
    • 文件大小:13493095
    • 提供者:吴雷
  1. Universal_Asynchronous_Receiver-Transmitter

    0下载:
  2. 可以实现二进制振幅键控、二进制频移键控和二进制相位键控的解调和调制功能。-Can achieve binary amplitude shift keying, binary frequency shift keying and binary phase shift keying demodulation and modulation functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:632457
    • 提供者:太阳之神
  1. shuzitiaozhijietiaoqi

    0下载:
  2. 数字调制解调器 数字调制解调器 -Digital Modem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1529487
    • 提供者:随风
  1. msk

    0下载:
  2. msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:李博
  1. bpsk

    0下载:
  2. 基于FPGA的BPSK数字调制器的实现,对于学习通信专业的人应该有些帮助-FPGA-Based Digital Modulator BPSK, for people to learn communication professional should be some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:432035
    • 提供者:李博
  1. VHDL-ASK

    0下载:
  2. 基于VHSL语言的的ASK调制与解调,用max+plus进行了仿真及分析-VHSL language based on the ASK modulation and demodulation, with max+ plus simulation and analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:239968
    • 提供者:文文
  1. gmsk_2

    1下载:
  2. 实现2M数据速率的GMSK调制,时钟频率20M,2分频后作为移位寄存器-2M data rate to achieve the GMSK modulation, the clock frequency of 20M, 2 minutes after a shift register frequency
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:598240
    • 提供者:杨勇
  1. DATA_16QAM_MAP

    0下载:
  2. qam星座映射也qam调制的硬件实现代码详解。用于OFDM下行链路-qam qam modulation constellation is also the hardware implementation code Xiangjie. For OFDM Downlink
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1121
    • 提供者:李小凡
  1. FPGA_verilog

    0下载:
  2. FPGA很有价值的27实例:如ASK、PSK、FSK调制与解调VHDL程序及仿真等-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1278780
    • 提供者:
  1. tiaozhi

    0下载:
  2. 使用vhdl完成了ask psk fsk的调制和解调-Completed using vhdl ask psk fsk modulation and demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:488998
    • 提供者:xxhlshe
  1. QPSK

    1下载:
  2. qpsk调制解调的VHDL源代码,已调试成功,可放心使用。-qpsk modulation and demodulation of the VHDL source code ,which has been debugged and can be freely used.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:1442
    • 提供者:simulin_2008
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
« 1 2 3 4 5 6 78 9 10 11 12 ... 17 »
搜珍网 www.dssz.com