CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 频率计

搜索资源列表

  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. 用单片PLD实现数显频率计的应用

    0下载:
  2. 用单片PLD实现数显频率计的应用,用单片PLD实现数显频率计的应用-with a single PLD significant number of frequency of use, with a few single-chip PLD Frequency of Application
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:447252
    • 提供者:jinhao
  1. 声卡频率计

    0下载:
  2. 声卡频率计源代码,为网上收集的。下载使用时,请尊重原创作者的版权。-audio frequency meter source code to the online collection. When downloaded, please respect the original author's copyright.
  3. 所属分类:视频捕捉/采集

    • 发布日期:2008-10-13
    • 文件大小:125731
    • 提供者:闪核
  1. 频率计

    0下载:
  2. 频率计汇编源代码
  3. 所属分类:嵌入式/单片机编程

  1. 基于FPGA的数字频率计

    2下载:
  2. 基于FPGA的数字频率计
  3. 所属分类:VHDL编程

    • 发布日期:2010-05-15
    • 文件大小:393079
    • 提供者:wjh033
  1. pic16f84频率计

    0下载:
  2. 用PIC16F84做频率计,用LCD做显示(M1632)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-09-17
    • 文件大小:7505
    • 提供者:fjzzak
  1. 基于at89c51的数字频率计

    0下载:
  2. 单片机课程设计 基于at89c51的数字频率计
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:127147
    • 提供者:123hjl
  1. 基于fpga的数字频率计

    2下载:
  2. 基于fpga的数字频率计
  3. 所属分类:源码下载

    • 发布日期:2010-11-08
    • 文件大小:15646047
    • 提供者:__SUN__S
  1. 51频率计

    0下载:
  2. 51频率计初学者参考.
  3. 所属分类:源码下载

  1. 采用等精度测频原理的频率计的程序与仿真

    1下载:
  2. 采用等精度测频原理的频率计的程序与仿真
  3. 所属分类:Windows编程

  1. 数字频率计

    0下载:
  2. 8952+1602简易频率计C程序 功能概要: LCD显示简易频率计 MCU: STC89C52 晶振:11.0592Mhz 改进说明: LCD显示频率,周期,脉宽 占空比
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. pinlvji 频率计VHDL编程

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measu
  3. 所属分类:VHDL编程

    • 发布日期:2012-01-11
    • 文件大小:90168
    • 提供者:testsb
  1. 数字频率计VHDL程序与仿真

    0下载:
  2. 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 ,Digital Cymometer VHDL procedures and simulation of the file name: plj.vhd.- Function: frequency meter. With four shows that will automatically coun
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:100190
    • 提供者:小草
  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. sj.rar

    1下载:
  2. 基于单片机的数字频率计设计,定时器T0完成定时功能,T1采用计数功能,MCU-based design of digital frequency meter, timer T0 timing finish, T1 using count function
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-04-21
    • 文件大小:2953
    • 提供者:郑雄
  1. pingljc.rar

    0下载:
  2. 本源码是基于MCS-51单片机的等精度频率计编写的。输入信号为峰峰值5v的正弦信号,频率测量范围10HZ~100MHZ ,频率测量精度为0.1 。采用1602液晶显示器显示测量结果。信号源由PROTEUS 的虚拟信号发生器产生。,The source is based on the MCS-51 microcontroller and other precision frequency counter prepared. 5v peak to peak input signal is sinus
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:72514
    • 提供者:李林
  1. 等精度频率计单片机程序

    0下载:
  2. 等精度频率计单片机程序,用C51实现,可以参考下,C
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-26
    • 文件大小:38347
    • 提供者:lzz
  1. dengjingdu.rar

    0下载:
  2. 根据第三届(1997年)全国大学生电子设计竞赛题目:简易数字频率计,完全用FPGA芯片做的一个等精度数字频率计。,According to the third (1997) National Undergraduate Electronic Design Contest Topic: simple digital frequency meter, complete with a FPGA chip, such as doing precision digital frequency meter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3051618
    • 提供者:song
  1. 555定时器计数程序

    0下载:
  2. 555定时器计数程序,单片机读取555定时器值,做为频率计使用,555
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-21
    • 文件大小:1416
    • 提供者:zhangyanshuang
  1. jianyi.pinlvji

    1下载:
  2. 此为简易的频率计,可测10hz到1Mhz的频率,通过整形电路测方波,三角波,正弦波,还具有自校功能,也可测周期,这个为自己做的仪器原理的课程设计,里面包含程序及设计报告。可方便大家的使用。测量误差《0.1 -This is a simple frequency counter can measure the frequency of 10hz to 1Mhz, measured by square-wave shaping circuit, triangle wave, sine wave, a
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:122045
    • 提供者:liu
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com