CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 8 LED

搜索资源列表

  1. 8x8LEDp

    0下载:
  2. 8*8 LED 点阵屏幕显示全屏下滚2次及上滚2次,从左到右,从右到左各收缩1次.从中间扩展到四角,从四角收缩到中心,然后再显示:"祖国您好 合肥市 邦森公司 国庆" 作为LED点阵练习,简单易懂.-8* 8 LED dot-matrix display full-screen under the roll-on roll 2 times and 2 times, from left to right, from right to left each contraction 1. From
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:3650
    • 提供者:蓝天
  1. LED

    0下载:
  2. 点阵LED显示0-9程序(c语言),此程序为8*8LED点阵的简单程序-Dot-matrix LED display 0-9 program (c language)
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:8663
    • 提供者:朱广伟
  1. LED

    0下载:
  2. 单片机控制8*8LED点阵(上、下、左、右、闪烁)显示-SCM control 8* 8LED matrix (up, down, left, right, blinking) display
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:109948
    • 提供者:agdsafsd
  1. LEDmain0.3

    0下载:
  2. 该装置由8块LED、RS-232C等外设构成,内核为H8,具有以下功能。  通过使用RS-232、Xmodem 通讯,进行命令文件的下载功能。  通过8块LED根据命令进行各种模式的显示功能。 -The device consists of 8 LED, RS-232C and other peripheral structure, the kernel for the H8, has the following features. 
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:54487
    • 提供者:ligang
  1. led

    0下载:
  2. led8*8点阵,实现模拟广告功能,显示想要的效果-led8* 8 dot matrix, analog advertising feature, showing the desired effect
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:135347
    • 提供者:卡卡
  1. LED

    0下载:
  2. 8个LED分两组,分别实现轮流点亮,给视觉以闪烁感-8 led spit two item, turn on or turn off
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:11657
    • 提供者:朱志勇
  1. LED

    0下载:
  2. The led belinking for 8 led of Spartan 3a 1800. The input clock is from the board and the leds are blinking each second.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1005274
    • 提供者:Mostafa
  1. matrix-led

    0下载:
  2. 在单片机开发中使用的8×8点阵显示屏的仿真和测试程序。-Microcontroller used in the development of 8 × 8 dot matrix display simulation and testing procedures.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:103686
    • 提供者:xunan
  1. led

    0下载:
  2. 使用avr单片机完成一个简单系统,有2 个按键和8 个LED 数码管作为显示。两个按键分别代表输入字符“a”和“b”,且具备类似PC 机键盘的连发功能:如按下“a”键不放,第1 秒内输入1 个“a”,以后每隔0.2 秒输入一个“a”……。输入的“a”在8 个LED 数码管上由右向左移动。-Avr microcontroller using a simple system complete with two keys and 8 LED digital tube as the display. E
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:1209
    • 提供者:王军
  1. led

    0下载:
  2. 测试LED显示屏幕的好程序,16扫的,4扫,8扫-LED display screen, a good test procedures, 16 sweep, and four sweep, sweep 8
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:23267
    • 提供者:陈建清
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. 09-8-xianshideng

    0下载:
  2. 8只数码管闪烁显示,含有仿真。简单实用-8 LED flashing display, with simulation
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-16
    • 文件大小:41148
    • 提供者:祝叶
  1. LED

    0下载:
  2. 8*8led点阵键盘的原理用于学习单片机LED点阵的使用及原理-8* 8led lattice used for learning the principles of the keyboard microcontroller and the principle of the use of LED dot matrix
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:95889
    • 提供者:都督
  1. led

    0下载:
  2. 单片机 P1 口接 8 只发光二极管,P3.2~P3.5 接有 K1~K4 共四个按键,使用串行 口编程,1)由 PC 机控制单片机的 P1 口,将 PC 机送出的数以二进制形式显示在发光二极 管上;2)按下 K1 向主机发送数字 0x55,按下 K2向主机发送数字 0xAA,使显示转下一行。-SCM P1 port connected 8 LED, P3.2 ~ P3.5 connected with K1 ~ K4 total of four keys, use serial por
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:631
    • 提供者:chenhongge
  1. led

    0下载:
  2. LED闪烁灯控制,利用8个IO口实现8个LED灯控制-LED flashing light control, the use of eight IO ports to achieve 8 LED lights control
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:53064
    • 提供者:yuzerui
  1. led

    0下载:
  2. 程序功能:控制8个LED闪烁,用于测试下载功能是否正常-Program features: Control 8 LED flashing, used to test the download function is normal
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:76794
    • 提供者:ding
  1. led-light

    0下载:
  2. 8个LED点灯,由最靠外的两个一起往里走,再一起往外走-8 LED lighting, by the most outer two together go inside, then go out together
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:74296
    • 提供者:徐海洋
  1. dianzheng

    0下载:
  2. 33 8×8LED点阵屏仿电梯数字滚动显示 -33 8 × 8LED dot matrix screen digital imitation of the elevator 33 8 × 8LED scrolling dot matrix display screen scrolling digital display fake elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22790
    • 提供者:华生
  1. LED

    0下载:
  2. 循环点亮P1口对应的8个LED灯,造成循环流水点亮的效果-Cycle corresponds to the P1 port is lit 8 LED lights, the effect of light caused by recirculating
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:670
    • 提供者:王哲
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com