CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CIC滤波器

搜索资源列表

  1. shuzixiabianpin

    0下载:
  2. 数字下变频中cic滤波器,级联三级,主要功能是抽取滤波,及重要参考资料,包括数字下变频论文-Digital down conversion of cic filter, cascade three-level main function is to extract the filter, and important reference materials, including digital down conversion papers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6300856
    • 提供者:adam1988223
  1. FIR

    1下载:
  2. 详细介绍了窄带滤波器的设计,如半带,CIC等原理,原理很详细-Details of the narrow-band filter design, such as half-band, CIC and other principles, the principle in detail
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:656420
    • 提供者:eric
  1. ddc_cic3_hf

    0下载:
  2. vhdl语言实现CIC滤波器,用于数字下变频-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1011
    • 提供者:xinghaili
  1. cic_interp_8_three

    0下载:
  2. cic_interp_8_three,是产生三级cic差值滤波器-cic_interp_8_three, the difference is to produce three cic filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:707
    • 提供者:笙箫
  1. cicfilter

    0下载:
  2. 本程序完成cic滤波器的设计,并且含有滤波实例,同时设计了三种cic滤波器进行比较-this programm propose the design of cic filter. it contain an example of how this filter works. meanwhile it compares three different cic filter
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:721
    • 提供者:xing
  1. a-new-mthod-of-cic3_decimator

    0下载:
  2. 一种CIC滤波器的实现方法,包括以下步骤:将数据信号输入一梳状滤波器组进行滤波,所述梳状滤波器组由若干梳状滤波器级联而成;将滤波后的数据信号输入一保持器;将保持器输出的数据信号经一积分器组输出,所述积分器组由若干积分器级联而成,与所述梳状滤波器组的级数相同。一种CIC滤波器,包括:梳状滤波器组,由若干梳状滤波器级联而成;积分器组,由若干积分器级联而成;保持器,串联在最后一级梳状滤波器的输出端和第一级积分器的输入端之间。-a new method of CIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1017
    • 提供者:罗辉
  1. digital_sigma_delta_modulator

    1下载:
  2. simulink模拟的二阶sigma delta调制器 可以提高传输速率 中间用到了半带滤波器和FIR滤波器CIC滤波器 进行过采样-Simulink simulation of second order sigma delta modulator can improve the transmission rate use among the half took filter and FIR filter CIC filter sample
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-28
    • 文件大小:68385
    • 提供者:李小白
  1. cicfilter

    0下载:
  2. 基于多速率信号处理原理,设计了用于下变频的CIC抽取滤波器,由于CIC滤波器结构只用到加法器和延迟器,没有乘法器,很适合用FPGA来实现-This article describes the design of a CIC filter based on the signal processing theory.Because of its structure only using the adder and the delay devices without multiplier,it is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:332046
    • 提供者:jiangtao
  1. cic5

    0下载:
  2. 5级级联CIC滤波器的VHDL程序。CIC是最简单最易实现的低通滤波器,通常CIC滤波器如果采用单级,带外衰减不够,因此需要级联使用,5级级联的CIC带外衰减能够满足大多数的设计要求。而带内的衰减可以采用补偿滤波器抵消掉绝大部分。-the code of 5-CIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:956
    • 提供者:陈建敏
  1. CIC_Ccode

    0下载:
  2. 实现通信中下变频所用的cic滤波器的代码,可以直接使用在工程中-the cic filter c code
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:184038
    • 提供者:zhou
  1. cic_com

    0下载:
  2. cic滤波器设计与实现 很好的例子 欢迎大家下载使用啊-cic filter cic cic filter cic cic filter cic cic filter cic
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:677
    • 提供者:
  1. Cic_Interpolator

    0下载:
  2. 实现了2级cic滤波器的功能,其中内插32倍,即实现了32倍的2级cic内插滤波器-Realize the level 2 cic filter function, including 32 times interpolation i.e. the 32 times the level 2 cic interpolation filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1935
    • 提供者:李小白
  1. 11

    0下载:
  2. CIC性能比较,可分析CIC滤波器的频谱响应曲线-CICfunction compare
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:800
    • 提供者:huang xuejiao
  1. c13

    0下载:
  2. CIC滤波器的插值运算,并可以实现数字下变频的整个算法的研究,与仿真-design and simulink
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:3270
    • 提供者:huang xuejiao
  1. CIC-FILTER

    0下载:
  2. 有关级联积分梳状滤波器的硬件描述语言,用VHDL编写,共有五级-CIC FILTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:822
    • 提供者:周春浩
  1. CIC_bishe

    0下载:
  2. 测试CIC滤波器的基本性能,并对CIC滤波器做进一步说明!-To test the basic performance of the CIC filter, and the CIC filter to do further!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5481
    • 提供者:李成龙
  1. cic_5th_order_pipe1

    0下载:
  2. system generator 环境中构造cic滤波器模型-cic filter model constructed in the system generator environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:11739
    • 提供者:qx
  1. DVBS_variable_interpo_ation_rate_in_the_CIC_filter

    0下载:
  2. DVBS中可变插值率CIC滤波器设计及其FPGA实现-DVBS variable interpolation rate in the CIC filter design and FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262626
    • 提供者:saxon
  1. vhdl

    0下载:
  2. cic 滤波器,vhdl代码 ,内插与抽取-cic filter ,vhdl code about decination and interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:13068
    • 提供者:唐文明
  1. CIC_fir-Verilog

    0下载:
  2. 本程序是一个CIC滤波器设计,有助于初学者对滤波器设计设计有一个初步的了解-CIC fir
  3. 所属分类:MPI

    • 发布日期:2017-11-28
    • 文件大小:742
    • 提供者:colin
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com