CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CPU 设计

搜索资源列表

  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. CPU设计

    0下载:
  2. 用VHDL设计的一个16为CPU,内有开发文档以及源代码
  3. 所属分类:VHDL编程

  1. cpu设计

    0下载:
  2. c++实现的简单的cpu,实现了大部分指令功能。
  3. 所属分类:系统编程

    • 发布日期:2012-02-25
    • 文件大小:931581
    • 提供者:lifanxiu
  1. MICO8_DEMO_03_18_08.ZIP

    0下载:
  2. Lattice 超精简8位软核CPU--Mico8,开放所有源代码,包括VHDL,编译器,支持GCC编译器。可在Lattice所有FPGA和MachXO 器件上使用。本例包含示例和说明文档。对使用Lattice器件的用户或者学习CPU设计的人员有较高参考价值。,Lattice super-streamlined eight soft-core CPU- Mico8, open up all the source code, including VHDL, the compiler to supp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3317592
    • 提供者:ymjcloud
  1. cpudesign_doc.rar

    0下载:
  2. RISC cpu设计的经典教程,牛人讲义哦。,RISC cpu classic design tutorials, cattle were handouts Oh.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-31
    • 文件大小:293133
    • 提供者:孟天
  1. CPU

    1下载:
  2. 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1489667
    • 提供者:雄鹰
  1. OR1200_verilog

    0下载:
  2. or1200开源risc cpu的verilog描述实现,cpu源代码分析与芯片设计一书的源码-or1200 open source Verilog descr iption of the risc cpu realize, cpu source code analysis and chip design source book
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:204573
    • 提供者:yu
  1. CPU

    0下载:
  2. 一个多周期CPU的完整设计,quartus平台,Verilog实现,内含实验报告,和详细的各模块功能表-Complete a multi-cycle CPU design, quartus platform, Verilog implementation, includes lab reports, and a detailed menu of each module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:6606848
    • 提供者:
  1. 模型机的分析和设计

    0下载:
  2. 通过对计算机的组织与结构的分析,综合运用所学计算机原理知识,设计并实现较为完整的计算机,即模型机。它可以完成一般计算机的最基础功能,具备16条基本指令,以及4种寻址方式等。并且,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。设计过程包括四个部分:㈠模型机硬件组成分析;㈡指令系统设计;㈢微程序设计;㈣上机实现,示范程序。- Through to the computer organi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-09
    • 文件大小:115365
    • 提供者:谈明文
  1. cpu

    0下载:
  2. 关于FPGA的CPU的设计,可以看一下,大家讨论学习一下啊-The CPU on the FPGA design, you can see, we discussed learning about ah
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3140
    • 提供者:王飞
  1. class34

    0下载:
  2. eda中的8位的CPU设计,电子类专业非常实用!-EDA in eight of the CPU design, electronics professional very useful!
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:444103
    • 提供者:王子
  1. cpu

    0下载:
  2. cpu的vhdl设计实现加法减法乘法运算-cpu VHDL Design and Implementation of multiplication addition subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2012393
    • 提供者:郭红
  1. mipscpudesign

    0下载:
  2. cpu设计实例mips。MIPSI指令集32位CPU (1)MiniCore设计实例全32位操作,32个32位通用寄存器,所有指令和地址全为32位 (2)静态流水线(3~5级) (3)Forwarding技术 (4)片内L1 Cache,指令、数据各4KByte,硬件初始化 (5)没有TLB,但系统控制协处理器(CP0)具有除页面映射外的全部功能 -cpu design example mips. MIPSI instruction set 32-bit CPU (1)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:27938
    • 提供者:游笑
  1. n2cpu_nii51004

    0下载:
  2. NIOSII CPU设计实例,包括AVOLON总线特点和时序要求-NIOSII CPU design examples, including AVOLON bus characteristics and timing requirements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:138658
    • 提供者:石林
  1. cpu

    0下载:
  2. 用VHDL语言设计简单的CPU,重点设计微操作代码,然后设计CPU各组成模块,最后根据设计的微操作设计微指令,验证设计的正确性。可基本实现加、减、乘、除、移位、循环等操作。-VHDL language is designed to be simple to use the CPU, the focus of the design of micro-operation code, and then design the components of CPU module designed the f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1268588
    • 提供者:Rachel
  1. CPU

    1下载:
  2. 用VC++模拟单周期cpu,是体系结构课程的一次作业,包括硬件设计,指令设计等,仅十几条汇编指令啦,程序还支持堆栈操作,能进行算术运算,输入运算表达式就能自动生成汇编代码,代码装载后可以调试运行,支持单步和全速运行-Using VC++ simulation of single-cycle cpu, is a one-stop course architecture, including hardware design, instruction design, only dozens of as
  3. 所属分类:Windows Kernel

  1. cpu

    0下载:
  2. 用全加器设计8位运算器逻辑电路图 2、根据逻辑电路用 VHDL编程实现 3、调试编译通过后,仿真 -this file can help you learn the design of cpu
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:247886
    • 提供者:linxiaoxuan
  1. RISCCPU

    0下载:
  2. 简单的CPU设计流程PPT,用于教学目的,可综合的verilog HDL设计。-A simple CPU design process PPT, for teaching purposes, can be integrated verilog HDL design.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:156350
    • 提供者:柳泽明
  1. CPU

    0下载:
  2. 简单的cpu设计 实现简单功能 使用vhdl语言做的-vhdl cpu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1553236
    • 提供者:李志
  1. CPUdesign

    0下载:
  2. 计算机组成原理实验多时钟周期CPU设计,包含VHDL代码的设计,实验电路图,实验详细截图。-Computer component experiments designed more CPU clock cycles, including VHDL code design, test circuit, test detailed screenshots.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12421741
    • 提供者:longfu
« 1 23 4 5 6 7 8 9 10 ... 36 »
搜珍网 www.dssz.com