CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - DES IN VHDL

搜索资源列表

  1. DES_Verilog

    0下载:
  2. 这是我用Verilog写的DES加解密程序,准确的说这是一份实验报告,里面不但有程序还有简单的注释[主要是针对仿真的波形的],我主要写的是主控部分,密钥生成部分参考了下版原康宏的程序.该程序即可加密也可解密,选用CycloneII器件即能跑到100Mhz以上.-This is what I used to write Verilog the DES encryption and decryption procedures, accurate to say that this is a test
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:297120
    • 提供者:jesse
  1. DES101

    0下载:
  2. 数据加密算法(Data Encryption Algorithm,DEA)的数据加密标准(Data Encryption Standard,DES)是规范的描述,它出自 IBM 的研究工作,并在 1997 年被美国政府正式采纳。它很可能是使用最广泛的秘钥系统,特别是在保护金融数据的安全中,最初开发的 DES 是嵌入硬 件中的。通常,自动取款机(Automated Teller Machine,ATM)都使用 DES。文件是DES代码的VHDL描述 -Data encryption algor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:677515
    • 提供者:
  1. DES_IP

    0下载:
  2. 有效的改进3-DES算法的执行速度,采用了多级流水线技术,设计了一种高速的硬件结构,使得原来需要48个时钟周期才能完成的运算,现在只需要一个时钟周期就可以完成。另外通过增加输入/输出的控制信号。使得该IP可以方便的集成到SOC中,大大缩短了SOC的设计周期。-Effective 3-DES algorithm to improve the implementation of speed, multi-stage pipeline technology, designed a high-speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:23417
    • 提供者:charity
  1. des

    0下载:
  2. this is des code of vhdl version.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3227
    • 提供者:bluedkdk
  1. DESCryptographicAlgorithm

    0下载:
  2. des加密算法,用于IP通讯方面的,用VHDL写成的源程序-des encryption algorithm used for IP communications.the source codes are written in VHDL
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-24
    • 文件大小:28513
    • 提供者:wy
  1. DES

    0下载:
  2. This is verilog source code for DES(Data Encryption standard) which is used in network security.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:20160
    • 提供者:Krupesh
  1. aes_thesis_v1.0

    0下载:
  2. AES VERILOG CODE 128 192 32DES比較-AES VERILOG CODE 128 192 32DES Comparison
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:385602
    • 提供者:蕭嵎之
  1. DES_Encrypt_Decrypt_Verilog

    1下载:
  2. DES加密算法的Verilog HDL实现,带模式选择端口,可以实现加密和解密,已经modelsim仿真通过。-Des En/Decrypt,Verilog HDL code
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:7946
    • 提供者:Amazing_Eric
  1. DESsuanfa

    0下载:
  2. DES的加解密算法的实现,无错,非常适合毕业设计运用-DES encryption and decryption algorithm, error-free
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-03
    • 文件大小:12828
    • 提供者:longli
  1. topic

    0下载:
  2. DES加密算法的VHDL和VERILOG源程序- Xilinx开源共享61EDA代码工厂-DES encryption algorithm of VHDL and VERILOG source code- Xilinx factory open source code sharing 61EDA
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:274592
    • 提供者:renkaiqiang
  1. des

    0下载:
  2. des解密加密的verilog源代码其中包含有测试源代码,仿真结果图-verilog des decrypt encrypted source code which includes testing the source code, Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:342839
    • 提供者:cong
  1. key

    0下载:
  2. 用vhdl语言实现des编码中的密钥产生 是des编码中重要的一部分-Des code using vhdl language in the key generation is an important part des coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1522
    • 提供者:guosai
  1. 3des_vhdl_latest

    0下载:
  2. 3DES的VHDL IP核,64位 标准FIPS 46-3 NIST,并且使用3组64位密钥-The VHDL implementation 3DES,The core complies with the Triple-DES 64-bit block cipher defined in FIPS 46-3 NIST standard and operates with three 64-bit keys. Functional Descr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:138511
    • 提供者:XU
  1. ppx16_0146

    0下载:
  2. pic core in vhdl contient tous les descr iption des composants du pic 16f84 -pic core in vhdl contient tous les descr iption des composants du pic 16f84
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:27751
    • 提供者:ali
  1. 3des_vhdl_latest.tar

    0下载:
  2. DES/3DES open core used VHDL. 在实际系统中使用过,完美无缺。-DES/3DES open core used VHDL. Used in the actual system, perfect.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:121268
    • 提供者:jinghang sun
  1. DES-S

    0下载:
  2. des加密算法在MATLAB中,通过VHDL语言的实现-des encryption algorithm in MATLAB, through the realization of VHDL language
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:225976
    • 提供者:renjinjun
搜珍网 www.dssz.com