CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - DISPLAY

搜索资源列表

  1. AVRmenu

    0下载:
  2. Another AVR-gcc example menu for LCD 2x16 display. It can be simulated in VMLab.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:39986
    • 提供者:omcdr
  1. 1602LCD

    0下载:
  2. 1602lcd 显示程序,用Verilog语言编写,经测试程序运行没有问题!-1602lcd display program, with the Verilog language, tested program is running there is no problem!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:70361
    • 提供者:韩瑞
  1. Disp3264stead

    0下载:
  2. 16*64 LED DISPLAY(汇编语言)-16*64 LED DISPLAY(ASEMBLE LANGUAGE)
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1095
    • 提供者:胞宏
  1. DISPLAY

    0下载:
  2. Schematic for anode type 7segments display unit.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:16060
    • 提供者:C.W.Joo
  1. display

    1下载:
  2. 地震剖面显示,主要用于石油地震勘探中地震剖面的显示,可以显示波形、变面积和变密度剖面-Seismic data display,mostly used for seismic data processing,it can display waveform, variable area and variable density
  3. 所属分类:Energy industry

    • 发布日期:2016-04-26
    • 文件大小:28799
    • 提供者:songlianxi
  1. ledlede

    0下载:
  2. 点阵屏幕的显示出程序 点阵屏幕的显示出程序点阵屏幕的显示出程序-LED DISPLAY LED DISPLAY LED DISPLAY
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2414410
    • 提供者:陈建清
  1. ex4-10

    0下载:
  2. 程序描述:这个范例说明如何使用8051,以及汇编语言的程序连结, 当我们按下向上数的按钮之后,程序就会把0到9的共 阳7段显示器字型码存入AT93C46;如果我们按下向下 数的按钮之后,程序就会把9到0的共阳7段显示器字 型码存入AT93C46。 程序每一次重新开始执行时(Power on 或是Reset),8051 会先从AT93C46当中读出最前面的10个位组,然后 就反复地将这10个位组的数据经由PORT 1送到1个 共阳七段显示器。*/-Procedur
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:16679
    • 提供者:luheshan
  1. matrixquot6

    0下载:
  2. "16×16点阵"同一时间只能显示一个汉字 "6个16 ×16点阵(作弊)"是修改了LED的“Minimum Trigger Time”的,能同时显示6个汉字。 实际中不知道LED能否达到0.1ms的显示速度,如果能的话,"6个16×16点阵(作弊)"还可以用,呵呵,否则就只能仿真玩玩了:)。 由于这里的LED采用横向扫描,所以如果要实现“全屏滚动”还是比较麻烦的,不知道其速度能否跟上,如果是纵向扫描还容易一些。-" 16 × 16 dot matrix" at t
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:99356
    • 提供者:xiao jiejun
  1. LEDdisp

    0下载:
  2. 以Silicon Laboratories公司C8051F230单片机为控制核心,采用16路动态扫描方式进行显示的LED大屏幕显示系统的设计方案。采用大功率三极管TIP127进行行驱动,提高了亮度。单片机通过读取外部总线数据,可实现与上位机协同工作,也可以脱离上位机独立工作。高速的单片机系统可显示图象、文字、数字等多种内容,并且通过优化算法实现了上下左右移动,以及百叶窗等特殊效果。-To Silicon Laboratories, C8051F230 microcontroller to con
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3463869
    • 提供者:wuyu
  1. PIC16F877A_C_19

    0下载:
  2. 这是原创的基于PIC16F877A单片机控制1602液晶显示的程序源代码-This is the original 1602 based on PIC16F877A microcontroller control program source code for LCD display
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:1222
    • 提供者:yucheng
  1. Display

    0下载:
  2. TMS320X2812 spi显示驱动程序,及显示部分原理图。开发环境为CCS3.1.2812SPI和74hc595通讯,驱动5位LED 数码管。一般做工程都需要有显示部分。这个可以做为公用代码,可以显示小数。已经通过测试。-TMS320X2812 spi display driver, and display portions of the schematic. Development environment for CCS3.1.2812SPI and 74hc595 communicati
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:531135
    • 提供者:Mr.cheng
  1. display.c

    0下载:
  2. 基于低频功率放大器的输入输出频率和整机效率动态显示程序-Based on low-frequency power amplifier input and output frequencies and machine efficiency of dynamic display program
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:2088
    • 提供者:任聆文
  1. led

    0下载:
  2. 简易LED 点阵汉字显示控制模块设计,包括LED汉字显示原理、电路原理图和程序设计,简单明了。-Simple LED dot-matrix character display control module design, including LED display Chinese characters principle, circuit schematic diagram and program design, simple and straightforward.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:318084
    • 提供者:郑一
  1. vga

    0下载:
  2. verilog file , FPGA controll vga display- verilog file , FPGA controll vga display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:203744
    • 提供者:panchao
  1. display

    0下载:
  2. 水泥发货向侧门显示牌发送数据,司机看到自己的编号就可以进入-display numerous
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-04
    • 文件大小:1317783
    • 提供者:kk
  1. Radarngocdt9k49

    0下载:
  2. Radar Simulation + Detect, tracking and display targets. + Compute : Radial Velocity, Azimuth,Elevation angle,Range of target... + 3 Modes display target and orbit of target
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4274571
    • 提供者:DT
  1. 1602-liquid-crystal-display

    0下载:
  2. 89c52单片机上的1602液晶显示程序,用keii2.0完成的。-89c52 microcontroller liquid crystal display on the 1602 program, with keii2.0 completed.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:253355
    • 提供者:奉铁俊
  1. S65_STM32

    0下载:
  2. C Code for S65 Display
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2377
    • 提供者:tom
  1. CAN

    1下载:
  2. can总线的测试程序,包含完整的原理图和pcb板,程序测试通过.主控芯片at89s52,四位数码管显示,两位接收,两位发送.-can bus test program, including a complete schematic and pcb board, the program test. Master chip at89s52, 4 digital LED display, two receiving, two to send.
  3. 所属分类:SCM

    • 发布日期:2015-10-19
    • 文件大小:1486399
    • 提供者:李焕东
  1. display

    0下载:
  2. 点阵显示。利用VHDL语言描述-Dot-matrix display. Described using VHDL language. . . . . . . . . ,. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:179636
    • 提供者:shaozhiming
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com