CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FIFO

搜索资源列表

  1. fifo

    0下载:
  2. 页面置换先进先出算法,请大家试试,本人鉴定没问题-FIFO page replacement algorithm, please try, I did not identify the problem
  3. 所属分类:OS Develop

    • 发布日期:2017-04-10
    • 文件大小:1200
    • 提供者:wx
  1. FIFO

    0下载:
  2. 模拟页式虚拟存储管理地址转换和缺页中断,并用FIFO算法处理缺页中断。-Simulation of virtual storage management page address translation and page fault, and FIFO method to deal with page fault.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:1106
    • 提供者:金婷
  1. FIFO

    0下载:
  2. 课程设计报告_先进先出(FIFO)页面置换算法 里面全齐,不过是DOS的界面,希望对大家有些帮助 -Curriculum design report _ FIFO (FIFO) page replacement algorithm inside homogeneous whole, but DOS interface, I hope all of you some help
  3. 所属分类:Education soft system

    • 发布日期:2017-05-09
    • 文件大小:6936
    • 提供者:叶寒
  1. fifo

    0下载:
  2. 1.用随机数方法产生页面走向,页面走向长度为L(15<=L<=20),L由控制台输入。 2.根据页面走向,分别采用Optinal、FIFO、LRU算法进行页面置换,统计缺页率。 3.假定可用内存块为m(3<=m<=5),m由控制台输入,初始时,作业页面都不在内存。 -1. Using random number methods to generate the page, the page length to L (15 <= L <= 20), L
  3. 所属分类:OS Develop

    • 发布日期:2017-03-31
    • 文件大小:2316
    • 提供者:周哲
  1. AS_FIFO_DESIGN_Verilog

    0下载:
  2. 使用Verilog硬件描述语言完成了一个异步FIFO的设计,供相关硬件开发人员参考。-Verilog hardware descr iption language used to complete an asynchronous FIFO design, hardware development for the relevant reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2980
    • 提供者:小米
  1. fifo

    0下载:
  2. 可综合的Verilog FIFO存储器. This example describes a synthesizable implementation of a FIFO. -Can be integrated Verilog FIFO memory. This example describes a synthesizable implementation of a FIFO.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:2854
    • 提供者:
  1. fifo_src

    0下载:
  2. verilog语言实现,利用BlockRAM实现FIFO。-Verilog language, the use of BlockRAM achieve FIFO.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2859
    • 提供者:blackmew
  1. IPC_linux

    0下载:
  2. 源码包中是我在学习Linux进程间通信时所写的关于五种通信方式(管道,FIFO,信号,消息队列和共享内存)的程序,适合于初学Linux下应用开发的有志之士参考,希望对他们能有所帮助。-Source package is in my learning process communication when Linux on the five kinds of written communication (pipe, FIFO, signals, message queues and shared m
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-05
    • 文件大小:8774
    • 提供者:杨硕
  1. AutoFIFO

    0下载:
  2. EZ-USB的CY7C68013A实现Slave FIFO的AutoIN。关键配置见TD_Init函数。-EZ-USB
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:113845
    • 提供者:阳敬
  1. FIFO_2

    0下载:
  2. VERILOG Synchronous FIFO. 4 x 16 bit words.-VERILOGSynchronous FIFO. 4 x 16 bit words.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2330
    • 提供者:likui
  1. ImplementingFIFO-GPIF

    0下载:
  2. USB 2.0 FIFO 工作模式 C语言编程 CY7C68013单片机-USB 2.0 FIFO mode of C language programming CY7C68013 Singlechip
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:151032
    • 提供者:jinfei
  1. fifo

    0下载:
  2. 先进先出缓存器的verilog设计与实现-design of fifo(first in first out)
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:492367
    • 提供者:杨毕辉
  1. 75448172geleicounter

    0下载:
  2. 这是异步fifo的vhdl实现代码,已经在FPGA上通过实践证明,运行状态良好-This is the asynchronous fifo realize the VHDL code has been adopted in the FPGA Practice has proved that running in good condition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1230
    • 提供者:xzq
  1. Serial_FIFO_LPCARM

    0下载:
  2. LPCARM串口无限FIFO的实现源码。-Serial LPCARM unlimited source implementation of the FIFO.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:54962
    • 提供者:王吉锋
  1. bulkloop

    0下载:
  2. EZ-USB FX2 SLAVE FIFO模式固件代码-EZ-USB FX2 SLAVE FIFO mode firmware code
  3. 所属分类:USB develop

    • 发布日期:2017-04-03
    • 文件大小:2209
    • 提供者:
  1. Apptest_write_read_fifo

    0下载:
  2. 采用EZ-USB GPD开发的USB FIFO测试程序-The use of EZ-USB GPD development of USB FIFO test procedures
  3. 所属分类:USB develop

    • 发布日期:2017-04-04
    • 文件大小:104366
    • 提供者:郭伟
  1. clk

    0下载:
  2. 通过一个主时钟信号完成异步FIFO读写时钟信号的产生。编译通过实现功能。-Through a master clock signal the completion of asynchronous FIFO read and write clock signal generation. Compiler through the implementation function.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:30061
    • 提供者:ouping
  1. aaa

    0下载:
  2. FIFO、LRU、OPT的三个简单实现 源码 java -FIFO, LRU, OPT three easy source java implementation
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-27
    • 文件大小:14924
    • 提供者:yourname
  1. fifo

    0下载:
  2. simulation fifo protocol
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:487596
    • 提供者:nguyenle
  1. USB

    0下载:
  2. VC5416 对USB寄存器的读/写、配置; VC5416 对 USB的 FIFO 的操作; VC5416 对 USB的 setup 包的操作; VC5416 对 USB的 Endpointo 的操作;-VC5416 of USB register read/write, configuration VC5416 on the USB-FIFO operation VC5416 for USB operation of the setup packet VC5416
  3. 所属分类:DSP program

    • 发布日期:2017-04-23
    • 文件大小:181379
    • 提供者:fishsky
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com