CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - LED verilog

搜索资源列表

  1. boomshakalaka

    0下载:
  2. Verilog实现数字钟,超多功能,移位显示,闹钟设置,移位设置时间,定时秒表,控制LED记录数值等-Verilog digital clock, ultra-versatile, shift display, alarm settings, set the time shift, the timing stopwatch, and other numerical control LED record
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1855242
    • 提供者:wangruiqi
  1. snake

    0下载:
  2. 自己写的verilog贪吃蛇程序,使用vivado2015.2软件编写综合的,硬件平台是xilinx的basys3平台,当检测到碰撞时,led灯会亮起-Write your own verilog Snake program, using the software to prepare a comprehensive vivado2015.2, the hardware platform is the basys3 xilinx platform, when a collision is det
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3788666
    • 提供者:范赛龙
  1. led_display

    0下载:
  2. Verilog HDL 点亮LED灯,程序简单,适合新手练习上手,简单易懂。-Verilog HDL lit LED lights, simple procedures, suitable for beginners to practice to use, easy to understand.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1182
    • 提供者:刘子易
  1. register

    0下载:
  2. 用Verilog实现了一个基本寄存器,并且用仿真和led灯来显示了读写数据。-Using Verilog to achieve a basic register, and led lights and simulation to show the read and write data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:601
    • 提供者:east
  1. 145103015

    0下载:
  2. Verilog source code for using keypad module with zybo fpga board to take input and show output to onboard leds and led module connected to GPIO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1043638
    • 提供者:abdelrahman
  1. Frequency-Meter

    0下载:
  2. Verilog Module for 7-Segment-Display Decoder for Common-Anode LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:240684
    • 提供者:Raz
  1. huxi

    0下载:
  2. verilog实现4路LED呼吸灯效果 ,从安全熄灭渐亮到完全亮起-LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:2925888
    • 提供者:黄飞
  1. lab1_flash_led.xpr

    0下载:
  2. Verilog语言编写led流水灯,vivado环境编写-led water lights written by verilog
  3. 所属分类:assembly language

    • 发布日期:2017-12-13
    • 文件大小:83611648
    • 提供者:Mia
  1. seg7_verilog

    0下载:
  2. 七段式LED数码管驱动,Verilog源码,FPGA开发学习。硬件描述语言基础学习。-LED driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3422732
    • 提供者:曹振吉
  1. 6_key_test

    0下载:
  2. 用Verilog实现的按键控制LED灯,按下按键,对应的灯亮,再按一下灯灭。-Use Verilog to achieve the key to control the LED lights, press the button, the corresponding light, and then click the light off.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3130273
    • 提供者:ye
  1. led_display

    0下载:
  2. 用硬件描述语言verilog hdl来描述led等的显示。-led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:1024
    • 提供者:hdwahfi
  1. LEDWATER

    0下载:
  2. 用verilog hdl 实现LED流水灯实验,能改变间隔周期和循环时间。-led water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:653
    • 提供者:hdwahfi
  1. pwm_generate_module

    0下载:
  2. verilog编写的,用按键控制PWM波占空比。可以定义死区,用来控制舵机或者led灯的亮暗。-Verilog prepared, with the button to control the PWM wave duty cycle. You can define the dead zone, used to control the steering gear or led lights bright and dark.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:763
    • 提供者:刘宇洋
  1. 04_led_test

    0下载:
  2. verilog 入门 流水灯verilog 入门 verilog 入门 verilog 入门(verilog led test xilinx)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2488320
    • 提供者:翻山越岭
  1. jp

    0下载:
  2. led灯按顺序显示,EDA课程实验,verilog语言(EDA experiment with Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:2048
    • 提供者:miaomiaojiang
  1. yiweiLED

    0下载:
  2. 使用Verilog语言实现LED灯移位功能(Using the Verilog language to implement the LED lamp shift function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2777088
    • 提供者:四海
  1. 1

    0下载:
  2. 利用板子上的LED灯,用Verilog编程实现的流水灯模块(Using the LED lights on the board, we use Verilog programming to realize the water lamp module.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:486400
    • 提供者:somnus浅眠
« 1 2 ... 12 13 14 15 16 17»
搜珍网 www.dssz.com