CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - RS232 VHDL

搜索资源列表

  1. 07_rs232_echo

    0下载:
  2. Controller RS232 in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2440
    • 提供者:darek
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. Rs232sourcecode

    0下载:
  2. Working RS232 controller running at 9600 Hz. Consist of Transmitter and Receiver Module. Tested in FPGA Spartan 3 Included files for testing at FPGA - Scan4digit .vhd - to display at 7 sgement display - D4to7 .vhd - Convert HEX decimal to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4831
    • 提供者:Ikki
  1. Rs232_Recv2

    0下载:
  2. controller RS232 for receiving serial data at different speeds
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-11
    • 文件大小:1097
    • 提供者:Natacho
  1. RS232_Controller

    0下载:
  2. This project is a RS232 Controller used to communicate two devices.
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:506360
    • 提供者:Arley
  1. CameraDriver

    0下载:
  2. This module use OV7620 digital camera on the 24-bit RBG (8:8:8) data and display that in RS232 uart interface
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-27
    • 文件大小:5412
    • 提供者:Joelmir J Lopes
  1. altera-schemic-

    0下载:
  2. FPGA应用,Altera的FPGA开发板原理图汇集,FPGA最小系统,rs232串口转换,VGA显示-FPGA applications, Altera' s FPGA development board schematic pooling, FPGA minimum system, rs232 serial converter, VGA display etc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1720572
    • 提供者:吴贵锋
  1. rs232

    0下载:
  2. 通过FPGA实现串口通信,结果在超级终端可见-Serial communication through the FPGA, the result can be seen in the HyperTerminal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:640806
    • 提供者:chengliu
  1. screen_shoot

    0下载:
  2. Example of a screen shot module in a FPGA (upload bitmap file by RS232)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1800
    • 提供者:Charles
  1. RS232_project

    0下载:
  2. 串口通讯 rs232 verilog程序,一次接受传送8bits-rs232 verilog project,reciver or trancimiter 8 bits onece
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2240
    • 提供者:王翰林
  1. c_FPGA

    0下载:
  2. RS232设计,硬件测试通过,VERILOG实现的,比较好的哦-RS232 design, hardware test, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1249471
    • 提供者:洪依
  1. UART

    0下载:
  2. A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:45537
    • 提供者:sandeep
  1. S7_PS2_RS232

    0下载:
  2. 基于verilog语言PS2接口和RS232接口的实现-PS2 based on verilog language interface and RS232 interface implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1749456
    • 提供者:jiehao
  1. UP_IP_Library_80

    2下载:
  2. altera大学IP库,包含ps2、sdram、rs232等-altera University, IP libraries, including the ps2, sdram, rs232, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5476430
    • 提供者:wcm
  1. uart

    0下载:
  2. RS232控制分频,实现占空比和频率可以控制的分频器-verilog RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:80161
    • 提供者:cuiqiang
  1. uart1

    0下载:
  2. RS232(UART)串口传输,通过了FPGA验证功能正确-UART RS232 verilog HDL FPGA xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:238071
    • 提供者:
  1. rs232

    0下载:
  2. 在FPGA上实现数据的串口传送,可以和上位机进行数据的首发,里面包含的仿真过程-Realized in the FPGA serial data transmission, data can be the starting PC, which contains the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1293678
    • 提供者:gdr
  1. rs232

    0下载:
  2. 用vhdl实现fpga串口通信 包含 波特率生成 发送模块 接收模块 过采样 signaltap使用-Vhdl fpga serial communication with the realization of sending module contains the baud rate generation receiver module using oversampling signaltap
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2274959
    • 提供者:adam
  1. RS232

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现RS232 VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation RS232 VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:402133
    • 提供者:zkzkzk
  1. RS_232

    0下载:
  2. VHDL实现RS232串口通信,压缩包内有完整的quartus2工程,由顶层,波特率,发送,接收四个模块构成。外部电路只需要一片MAX232就能与串口助手或单片机通信。-VHDL implementation of RS232 serial communication, compressed within a complete quartus2 project from the top, baud rate, send, receive four modules. External circui
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:403598
    • 提供者:徐博
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com