CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - RS232 VHDL

搜索资源列表

  1. SCA126T-100130

    0下载:
  2. SCA126T 是瑞芬科技针对工业现场控制领域推出串口输出型双轴倾角传感器,内置高精 度16bit A/D 差分转换器,通过5 阶滤波滤波算法,最终输出双方向的倾角值。 接口可选RS485;RS232 或TTL 电平。由于内置了ADI 公司的高精度数字温感器,所以 输出角度在工作温度范围内都得到了再次修正,保证产品在低温与高置环境下的高重复性。 高的输出速度能达到20 次/秒。产品属于真正工业级产品,性能可靠稳定,扩展性好, 多种输出可供选择。适合应用于各种恶劣工业控制环境-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1287333
    • 提供者:武钢
  1. UART_TVHDL

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:490755
    • 提供者:liuxingxing
  1. RS232-RefComp

    0下载:
  2. 非常实用的关于串口的vhdl语言程序,与pc机通信-Very useful on serial vhdl language program, computer communication with the pc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:257207
    • 提供者:朝阳区
  1. UART_communication

    0下载:
  2. it s a document where described rs232 communinication between pc and fpga . it describe the vhdl structure of uart driver in fpga that allow communication between this devices
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:206726
    • 提供者:seif
  1. RS232

    0下载:
  2. RS232 用vhdl语言实现,很有用-RS232 with a vhdl language, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2184
    • 提供者:yuexinqi
  1. FPGA-UART

    0下载:
  2. 该资料是实现VHDL的串口通信(UART),RS232接口协议,-VHDL implementation of serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2088292
    • 提供者:lp
  1. rs232

    0下载:
  2. VHDL 语言如何写串口的源代码,很详细的-VHDL for uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2479
    • 提供者:123456
  1. rs232-demo-for-send-welcome

    0下载:
  2. 这个一个用于fpga上面的串口调试程序,基于vhdl语言编写,可实现welcome字符的现实功能。-Fpga above this one for the serial debugger, based on vhdl language, the reality can be realized characters welcome feature.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3055
    • 提供者:tiger
  1. VHDL

    0下载:
  2. FPGA与计算机基于RS232之间的通信-FPGA and RS232-based communication between the computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:995
    • 提供者:王梁
  1. A-Simplified-VHDL-UART

    0下载:
  2. In embedded systems, the processor that we choose for our design may not come with built-in peripherals. Therefore, designers will have to implement these devices in hardware keeping in mind that they will need to interface to the processor. In this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:375158
    • 提供者:mezzich
  1. rs232

    0下载:
  2. the vhdl driver:uart communication:rs232(EIA):baud:9600kbps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1671
    • 提供者:guobc
  1. RS232-bus-protocol

    0下载:
  2. 有fpga VHDL原程序 锁脚文件 及下载文件 ,及uart通信协议-Fpga the VHDL program locks the foot of the original files and download files, and uart communication protocol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:346501
    • 提供者:吴信松
  1. RS232-Simple

    0下载:
  2. A simple UART example for reference in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:71784
    • 提供者:Cong
  1. rs232

    0下载:
  2. this is vhdl code. purpose of rs-232 connected with altera cyclone2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:94436
    • 提供者:kimjuhyun
  1. RS232

    0下载:
  2. VHDL实现的RS232通信程序,发送和接收都已实现-VHDL realization of RS232 communication procedures, send and receive are realized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:201203
    • 提供者:
  1. Rs232-reciever

    0下载:
  2. RS232 reciver vhdl code for RS232 EIA232-RS232 reciver vhdl code for RS232 EIA232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:2110
    • 提供者:sgma
  1. VHDL-uart

    0下载:
  2. 本程序应用VHDL语言,详细描述了RS232串口协议,包括发送,接收,波特率的产生,模块化编程,对于初学者尤为有宜!-The program in VHDL language, the detailed descr iption of the RS232 serial protocol, including sending, receiving, and baud rate generation, modular programming, especially for beginners sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:13046
    • 提供者:wangsheng
  1. vhdlRs232

    0下载:
  2. 通过 vhdl 语言实现rs232 通信-Communication via rs232 vhdl language
  3. 所属分类:Com Port

    • 发布日期:2017-03-30
    • 文件大小:3267
    • 提供者:wang
  1. RS232uart(VHDL)

    0下载:
  2. rs232串口程序,包括输入和输出,vhdl实现。rs232 serial procedures, including input and output, vhdl implementation.-rs232 serial procedures, including input and output, vhdl implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:47152
    • 提供者:houjiajun
  1. UART VHDL

    0下载:
  2. UART RS232 VHDK DEVELOPMENT
  3. 所属分类:VHDL编程

    • 发布日期:2015-12-10
    • 文件大小:281798
    • 提供者:Sherlock221B
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com