CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Register

搜索资源列表

  1. code

    0下载:
  2. register file using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3819
    • 提供者:tran
  1. shift16

    0下载:
  2. The data in the shift register in shift pulses can move or by bit right next moves left, data can be parallel input, parallel output, also can serial input, serial output, still can parallel input, output, serial input, serial, parallel output is fle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:277240
    • 提供者:张凯
  1. register

    0下载:
  2. 用VHDL语言实现各种寄存器,包括简单移位寄存器,并行存取的移位寄存器,算术移位和逻辑移位寄存器-VHDL language using a variety of registers, including a simple shift register, parallel access shift registers, arithmetic and logic shift register shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1619173
    • 提供者:吴鸿腾
  1. Register-Quick-Reference-F2812

    0下载:
  2. F2812寄存器速查手册-Register Quick Reference F2812
  3. 所属分类:DSP program

    • 发布日期:2017-03-24
    • 文件大小:407916
    • 提供者:夏卫忠
  1. dsp2812-register-index

    0下载:
  2. TI的DSP芯片DSP2812的各个寄存器的详细说明-TI' s DSP chips DSP2812 detailed descr iption of each register
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:407291
    • 提供者:yangyake
  1. Register-Components-without-using-Regsvr32

    0下载:
  2. Register Components without using Regsvr32.exe
  3. 所属分类:Linux-Unix program

  1. 836335-IEEE-Standard-for-VHDL-Register-Transfer-L

    0下载:
  2. IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:204449
    • 提供者:T. H. Sutikno
  1. 1342563-IEEE-Standard-for-VHDL-Register-Transfer-

    0下载:
  2. 1076.6TM IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:496260
    • 提供者:T. H. Sutikno
  1. CSharp-Register-Operation

    0下载:
  2. 注册表操作的Sample 注册表读写 遍历等操作-Register Operation
  3. 所属分类:CSharp

    • 发布日期:2017-03-29
    • 文件大小:20230
    • 提供者:carter
  1. Register

    0下载:
  2. 用户注册功能对话框,能够实现用户名称、密码等多种注册信息的录入及保存功能。-user s register dialog,can fulfil the users name and password
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-07
    • 文件大小:166620
    • 提供者:tlsteven
  1. MSP430-register-Chinese

    0下载:
  2. MSP430的寄存器很多,本文为寄存器的中文注释,对初学者会有很大帮助。-MSP430 registers a lot of this to register Chinese comments, will be very helpful for beginners.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:12132
    • 提供者:wuqi
  1. Register

    0下载:
  2. 实现8位自主线性反馈移位寄存器。自主线性反馈移位寄存器具有二进制的抽头(tap)系数C1,...,CN,这些系数决定Y(N)是否被反馈给寄存器的特定级。-Achieve 8-bit linear feedback shift register independently. Autonomous linear feedback shift register with binary tap (tap) coefficients C1 ,..., CN, these factors determine
  3. 所属分类:GIS program

    • 发布日期:2017-04-06
    • 文件大小:961
    • 提供者:alen
  1. cpi-configuration-register-data

    0下载:
  2. cpi配置寄存器资料,描述了pci传输时寄存器的配置原理-cpi configuration register data, describes the configuration register pci transmission principle
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:219257
    • 提供者:yehanwei
  1. VHDL-test-code-8-bit-shift-register

    0下载:
  2. VHDL实验代码:8位移位寄存器,这是一个基于VHDL的8位寄存器,非常实用的一个小程序-VHDL test code: 8-bit shift register, which is a VHDL-based 8-bit registers, a very useful little program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1004
    • 提供者:Johonson
  1. VHDL-test-code-general-register

    0下载:
  2. VHDL实验代码:通用寄存器组,这是一个基于VHDL开发的程序,非常的实用-VHDL test code: general register, which is a VHDL-based development process, a very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1102
    • 提供者:Johonson
  1. DSP-register

    0下载:
  2. 快速寻找DSP的相关寄存器,该文档提供了一个表格方便读者快速找到ti公司系列DSP芯片的寄存器-supply fast method for finding register of DSP
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:407939
    • 提供者:吉林
  1. arm-register-verilog

    0下载:
  2. 用verilog描述语言实现的4位、32位、arm寄存器。-Verilog descr iption language with 4-bit, 32-bit, arm register.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:9393152
    • 提供者:
  1. SIPO-PISO-register

    0下载:
  2. Package contains two VHDL module: one for serial in and parallel out (SIPO) register and other for parallel in and serial out (PISO) register.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:878
    • 提供者:zpatel
  1. register-example-use-VC6.0

    0下载:
  2. 一个简单的例子,读写注册表,内容很详细,适合学习-example for register use VC6.0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-02
    • 文件大小:6319
    • 提供者:phenix
  1. An-easy-Register

    0下载:
  2. 一个简单的注册码生成器。 学习简单的算号器-An easy Register
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:12962
    • 提供者:DbgOlly
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com