CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - SPI CAN

搜索资源列表

  1. MF_RC531

    0下载:
  2. 采用MSP单片机驱动RC531,串行SPI总线,可读写TypeA、TypeB,函数齐全,功能完善。-By MSP Microprocessor RC531, serial SPI bus, can read and write TypeA, TypeB, the function is complete, fully functional.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:56172
    • 提供者:yuxiaofeng
  1. STM8L

    2下载:
  2. IAR开发环境,STM8L单片机,Att7053计量芯片,此仪表程序可以显示电压电流频率-IAR development environment, STM8L SCM, Att7053 measurement chip, the instrument can display the voltage and current frequency of program
  3. 所属分类:SCM

    • 发布日期:2016-08-09
    • 文件大小:208896
    • 提供者:
  1. usb_232

    1下载:
  2. STM32下做的usb转RS232程序,有兴趣的同志可以参考下,开发板为MCBSTM32-STM32 done under the usb to RS232 procedures comrades are interested can refer to, the development board for MCBSTM32
  3. 所属分类:SCM

    • 发布日期:2014-10-06
    • 文件大小:196970
    • 提供者:wang ping
  1. 20081001_SPI

    0下载:
  2. 单片机控制74HC595的程序,采用模拟SPI协议方式,74HC595数据端可接流水灯做演示-74HC595 Singlechip control procedures, the use of simulation SPI agreement, 74HC595 data can be accessed by water-side lights make presentations
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:988
    • 提供者:zhangqiang
  1. 51SPI

    0下载:
  2. 基于51单片机的I2C通信程序,可以顺利实现I2C通信-Based on 51 MCU I2C communication program can be successfully I2C communication
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11385
    • 提供者:贾民林
  1. SPI_Interface

    0下载:
  2. SPI接口的vhdl代码,可以实现与单片机的spi通信,完整的工程-SPI interface of the VHDL code can be achieved with SCM spi communication, complete works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4095
    • 提供者:wanyou2345
  1. 7219DING

    0下载:
  2. AVR驱动7219程序,能有效将AVR的速度与资源有效利用。程序简洁,流畅,浅显易懂。-AVR-driven 7219 procedures, can effectively AVR speed and efficient use of resources. Process simple, smooth, easy to understand.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1211
    • 提供者:LJC
  1. EVB9S12XF512E_Node1_LS

    0下载:
  2. 基于freescale MC9S12XF512 MCU,芯片自带Flexray通信控制器。可实现高达10Mb/s的Flxray通信.本程序主要功能: 1) 500ms实时中断。 2) SPI MASTER 运行于500kHz。 3) Flexray 总线以1.25Mbit/s 通信。-Based on freescale MC9S12XF512 MCU, chip communications controller Flexray own. Can achieve up to
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:798607
    • 提供者:阿昆
  1. SPI

    0下载:
  2. 这是利用相应的芯片,所做的SPI设计。可以参考这些程序-This is the use of the corresponding chip, made by SPI design. Can refer to these procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:7242
    • 提供者:allen
  1. i2cverilog

    0下载:
  2. 采用verilogHDL编写的I2C接口及SPI接口模块,经过测试 相当不错 COPY过去可直接使用-VerilogHDL prepared using I2C interface and SPI interface module, tested pretty good the past can be directly used COPY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196154
    • 提供者:zyq
  1. S12SPIV4

    0下载:
  2. spi4 SPI Block Guide V04.01 ,有兴趣的可以看一下-spi4 SPI Block Guide V04.01, who are interested can look at
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:175939
    • 提供者:fengbailing
  1. SPI

    0下载:
  2. TI DTWID1KBR.ASMSP FFT例程 有这方面需要可以下载文件包括TWID1KBR.ASM,R2DIT.ASM,R2DITB.ASM-TI DTWID1KBR.ASMSP FFT routines are required in this regard can be downloaded documents include TWID1KBR.ASM, R2DIT.ASM, R2DITB.ASM
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:75289
    • 提供者:why
  1. SPI

    0下载:
  2. IP多播的实现方法,在VC环境下进行开发与实现,对于VC学习的可以进行参考。-IP Multicast Implementation in VC environment for development and realization of learning for the VC can be a reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:5586
    • 提供者:xalumin
  1. SPI_test

    0下载:
  2. AVR ATmega48 SPI最簡單測試碼! 透過spi_data[x]陣列寫入想要傳送的資料, 而x則是控制傳送第x筆數,而接腳輸出則在PortB的預設接腳內,只要修改spi_data就可以透過示波器看到SPI的信號了!-AVR ATmega48 SPI test the most simple code! Through spi_data [x] array you want to send the information to write, and x is the contro
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:11671
    • 提供者:Rick
  1. microblaze_spi_SendNByte

    1下载:
  2. SDK里的spi连续发送N个字节的API貌似不能用,重新写了个这个功能的函数!-spi sends N-byte,the API in SDK can not be used,.I re-wrote a function of this feature!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:4249
    • 提供者:康丙寅
  1. ads7843

    0下载:
  2. ADS7846/7843芯片 适合用在4线制触摸屏,它通过标准SPI协议和CPU通信,操作简单,精度高,当触摸屏被按下时(即有触摸事件发生)则ADS7846向CPU发中断请求,CPU接到请求后,应延时一下再响应其请求,目的是为了消除抖动使得采样更准确。如果一次采样不准确,可以尝试多次采样取最后一次结果为准,目的也是为了消除抖动。-ADS7846/7843 chip suitable for use at 4-wire Touch Panel, which through a standard
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:1273
    • 提供者:不动
  1. FREQSYN

    0下载:
  2. 使用Verilog语言编写的使用SPI总线设置频率LM2346,可通过设置其R寄存器对其输出频率进行设置(需相应的射频电路相配合)。-The use of Verilog language use SPI bus frequency settings LM2346, can be by setting up its R register set of its output frequency (to be matched by corresponding RF circuitry).
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1530
    • 提供者:张键
  1. mcp2_2

    0下载:
  2. This software allows users to see how a serial async com port can be used to comunicate with a sync device (SPI). In this case, the software reads a low cost digital temperature sensor IC.
  3. 所属分类:Com Port

    • 发布日期:2017-04-25
    • 文件大小:22804
    • 提供者:bogdanel
  1. neek_alternate_sd_card_controller

    1下载:
  2. This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).-This SPI-mode SD Card controller is a
  3. 所属分类:Embeded Linux

    • 发布日期:2015-12-13
    • 文件大小:2167559
    • 提供者:zhangdongqing
  1. hex2rom_0241_Win32

    0下载:
  2. This SPI-mode SD Card controller is a free SOPC Builder component that can be used in any SOPC Builder system. The included example design runs on the Nios II Embedded Evaluation Kit, Cyclone III edition (NEEK).-This SPI-mode SD Card controller is a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:95989
    • 提供者:zhangdongqing
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com