CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - SPI CAN

搜索资源列表

  1. 164hc165io

    0下载:
  2. 74hc165多片级联,并通过MEGA8以IO口模拟SPI方式读取,发送至74HC164多片级联的LED灯显示 程序以驱动形式写出,只需修改端口即可,附有Proteus仿真模型-74hc165 multi-chip cascade through MEGA8 to simulate SPI mode IO port to read, send to 74HC164 cascaded multi-chip LED lights display the form of written proce
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:67276
    • 提供者:狂呀彭
  1. STM32R100DevelopBoardSourceCode

    0下载:
  2. stm32 ADC,CAN,DMA,Flash,LED,library,NVIC,Project,PWR,RCC,Blinky,SPI,TIM,USART-stm32 ADC,CAN,DMA,Flash,LED,library,NVIC,Project,PWR,RCC,Blinky,SPI,TIM,USART
  3. 所属分类:uCOS

    • 发布日期:2017-05-17
    • 文件大小:4893659
    • 提供者:
  1. PIC18_Program

    0下载:
  2. 本资料含有 Chart-04-----端口应用 Chart-05-----中断优先级处理 Chart-06-----SPI/IIC应用 Chart-07-----串口通讯应用 Chart-08-----端口RB应用 Chart-09-----TMR0/TMR1应用 Chart-10-----AD转换 Chart-11-----CCP1/PWM Chart-12-----CAN通讯 Chart-13-----液晶显示 Chart-14-----WDT/S
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:185898
    • 提供者:
  1. SDcard_MCS51

    0下载:
  2.  SD卡有两个可选的通讯协议:SD模式和SPI模式。SD模式是SD卡标准的读写方式,但是在选用SD模式时,往往需要选择带有SD卡控制器接口的MCU,或者必须加入额外的SD卡控制单元以支持SD卡的读写。然而,AT89C52单片机没有集成SD卡控制器接口,若选用SD模式通讯就无形中增加了产品的硬件成本。在SD卡数据读写时间要求不是很严格的情况下,选用SPI模式可以说是一种最佳的解决方案。因为在SPI模式下,通过四条线就可以完成所有的数据交换,并且目前市场上很多MCU都集成有现成的SPI接口电路,采用
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:35721
    • 提供者:
  1. SPI

    0下载:
  2. 用C8051F34X写的程序,在方案中用到SPI接口的朋友可以参考一下-Programs written with C8051F34X, SPI interface, used in the program can refer to a friend
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:12056
    • 提供者:whuasan
  1. MicrocontrollerInterfaceUtilitySubroutines

    0下载:
  2. 本书详细介绍了单片机实用接口子程序,并给出了c语言程序。接口类型有RS232、RS485、CAN总线、I2C总线、SPI总线、键盘接口等-This book details the practical interface subroutine SCM, and gives the c language program. Interface types are RS232, RS485, CAN bus, I2C bus, SPI bus, keyboard interface
  3. 所属分类:SCM

    • 发布日期:2017-06-17
    • 文件大小:27260790
    • 提供者:huanghf
  1. STM32_SPI

    0下载:
  2. STM32 SPI配置例程,适用STM32各种芯片,详细的介绍了 SPI的配置过程。根据例子修改即可应用。-STM32 DAM configuration routine, a variety of chips for STM32, detailed introduction to the DAM in the configuration process. Examples can be modified based application.
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:8809
    • 提供者:zhuerwei
  1. basic-serialflash-project-at91sam7x-ek-keil

    0下载:
  2. The Basic Serialflash project will help new users get familiar with SPI interface on Atmel s AT91 family of microcontrollers. This project gives you an AT26 serial firmware dataflash programming code so that can help develop your own SPI dev
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-23
    • 文件大小:221061
    • 提供者:王文清
  1. auto_w_r_spi

    0下载:
  2. 能够自动读写SPI ROM的控制器,读写的资料直接放到fifo中,经过实际的班子验证,很好用。-Can automatically read and write SPI ROM controller, read and write data directly into fifo, after the actual verification team, very good use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9492
    • 提供者:wwww
  1. C8051F040_c

    0下载:
  2. C8051F040单片机C编程的多个实例,包括CAN总线,SMBUS,SPI,UART,TIMERS,PCA,LCD,ADC,DAC,-C8051F040 microcontroller C programming multiple instances, including the CAN bus, SMBUS, SPI, UART, TIMERS, PCA, LCD, ADC, DAC, etc.
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:438875
    • 提供者:历程
  1. digital_oscillograph

    0下载:
  2. 基于ADUC845单片机的数字示波器,可以实现低于1MHz的信号显示-ADUC845 MCU based digital oscilloscope, the signal can be shown to achieve less than 1MHz
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:120869
    • 提供者:cfsword
  1. VHDLsample

    0下载:
  2. 英国诺森比亚大学的vhdl语言例程集锦,英文原版。 包含很多优秀的VHDL语言范例,可供学习。所有程序均可在符合IEEE标准的模拟器上模拟。-This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The exampl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:172126
    • 提供者:eensy
  1. spice

    0下载:
  2. 这是IOR公司相关期间的spice描述,想学spice模型的可以来看一下。这些模型也可以直接被使用,文件后缀是.spi,可以转化成其他文件来使用。-This is the IOR of the spice company' s descr iption of the relevant period, want to learn to look at the spice model. These models can also be used, the file suffix is. Spi
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:930540
    • 提供者:光辉
  1. meanFilter

    0下载:
  2. This is a variable length window averaging filter that uses an MCP3002 ADC with SPI interface to sample an analog input, and has a PWM that can be run through a low-pass filter to produce an analog output. The design was simulated in Modelsim with no
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:16708
    • 提供者:Kelton
  1. simple_spi

    0下载:
  2. complete spi core written in vhdl. its easy to use and can be configured to operate at various clock frequencies. tested on an ADC to verify the operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:584342
    • 提供者:Shahzad
  1. ATmega128_AD5322_SPI

    0下载:
  2. ATmega128和DA芯片AD5322相连进行DA转换,ATmega128通过SPI方式将要转换的数据发送给AD5322,文件包括Atmega128和AD5322的接口电路原理图,以及在ICCAVR和CVAVR下编译通过代码。解压即可用-ATmega128 and DA chip AD5322 connected to DA conversion, ATmega128 SPI mode by converting the data to be sent to the AD5322, and A
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:66676
    • 提供者:xue_1986
  1. Max7456

    0下载:
  2. Maxim7456 OSD Chipset driver, in BASCOM avr. Maxim7456 is a chip which receives AV video and with SPI can set custom text and characters on the video. This driver gives you the ability to print text and numbers and some ascii chars on the o
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2236
    • 提供者:zafari
  1. S3C2410

    0下载:
  2. S3C2410的串行外设接口SPI可以进行串行数据传输接口。S3C2410具有两个SPI,每个SPI具有两个8位移位寄存器用于独立地发送和接收数据。在SPI传输过程中,数据时同时发送和接收的(全双工),8位串行数据传输频率由相应的控制寄存器设置,如果希望仅发送数据,则接收数据can be dummy,同样如果只希望接收数据,则应该发送dummy 1 data。 有4个与SPI传输相关的引脚:SCK (SPICLK0,1)、MISO (SPIMISO0,1)数据线、MOSI (SPIMOSI0
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:753927
    • 提供者:程教育
  1. SPI_SLAVE

    0下载:
  2. atmega64的spi例子,这个是从机程序,另外还有主机程序。分别烧录后可以实现双工通讯-atmega64 the spi example of this process from the machine, in addition to host programs. Respectively, after burning duplex communication can be achieved
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:764
    • 提供者:叶祥祥
  1. F2812_example_code

    0下载:
  2. 达盛科技有限公司提供的TMS320F2812 CUP开发板的例程。包括can、mcbsp、pwm、spi、uart等的使用,有具体的工程,可直接使用。-Da Sheng Technology Co., Ltd. provides development board TMS320F2812 CUP routines. Including the can, mcbsp, pwm, spi, uart, etc. use a specific project, can be used directly
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:855088
    • 提供者:张西峰
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com