CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. freq

    0下载:
  2. 数字频率计,七位计数,显示六位,带test模块-Digital frequency meter, seven counts, showed that six, with test module
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:8403185
    • 提供者:潘斌
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. frenquenter

    0下载:
  2. 等精度频率计设计与文档,有源码,doc格式-Precision frequency meter, etc. The design and documentation, has source code, doc format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4726
    • 提供者:ltlt
  1. pinlvji

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:90168
    • 提供者:zhangyi
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. plj6

    1下载:
  2. 基于vhdl 的数字频率计的设计源程序及工程文件,已在实验箱上实现-vhdl,pinlvji
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:379459
    • 提供者:浅漓
  1. FPGA

    1下载:
  2. 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序-FPGA-based design of digital frequency meter 11, the use of VHDL hardware descr iption language design, and EDA (electronic design automation) tools with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:665994
    • 提供者:董晨晨
  1. fequency

    0下载:
  2. 一款可用于数字频率计设计的IP核,使用该IP核科研构建基于SOPC技术的片上数字频率计,测频范围较宽。-A digital frequency meter using IP core
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:203840
    • 提供者:yzhuai
  1. etester_zcx1002

    0下载:
  2. 这是一个等精度频率计的VHDL源程序,里面有QuartusII的完整工程文件。-This is a precision frequency meter, such as the VHDL source code, which has a complete project file QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:203370
    • 提供者:郑雄
  1. E_8051_FTEST_K4X4_new

    0下载:
  2. 是带51单片机核的等精度频率计的FPGA设计的部分。用VHDL编的,也有VERILOG的。-51 is a single chip with precision, such as the nucleus of the frequency of some of FPGA design. VHDL for use as well as the VERILOG.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-19
    • 文件大小:5455121
    • 提供者:李逵
  1. pinglvjiFPGA

    0下载:
  2. 基于等精度原理的频率计verlog代码,被测频率在1HZ到10MHZ误差百分比相同。-Based on the principle of the frequency of such precision code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1183676
    • 提供者:shengxi
  1. szplj

    0下载:
  2. 数字频率计,可以实现0.1至100000倍数之间的测量。-Digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3021
    • 提供者:cxp
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. Verilog-HDL.RAR

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,可以作为不错的练习或课设题-vhdl langue
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1319544
    • 提供者:zhaoliang
  1. dengjingdu-pinlv

    0下载:
  2. 基于quartus II 软件用vhdl语言写的等精度频率计实验 源代码、最终生成文件全程奉献-Based on quartus II software written in other languages ​ ​ using vhdl precision frequency meter test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:687238
    • 提供者:大毛
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com