CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL FIFO

搜索资源列表

  1. fifotop

    0下载:
  2. 基于FPGA编写的VHDL语言,FIFO代码程序。 程序完整。-VHDL-based FPGA written language, FIFO procedure code. Complete the procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2100710
    • 提供者:李芳
  1. camera_up

    0下载:
  2. Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:33011
    • 提供者:孙喆
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:545
    • 提供者:ted yang
  1. UART

    0下载:
  2. A badic controller for the UART. It incorporates a -- transmit and receive FIFO (from Max+Plus II s MegaWizard -- plug-in manager). Note that no checking is done to see -- whether the FIFOs are overflowing or not. This strictly -- handles the
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:1648
    • 提供者:Viral
  1. ASYNCFIFO

    0下载:
  2. 异步FIFO的FPGA实现,XILINX FPGA, ISE ,VHDL语言实现-asynchronous fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75308
    • 提供者:Denny
  1. VHDL06

    0下载:
  2. 16×4bit的FIFO设计代码,学习代码,请在下载24小时后删除。-16 × 4bit the FIFO design code, learning the code, please delete after 24 hours to download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:831
    • 提供者:yanyinhong
  1. FIFO

    0下载:
  2. VHDL code for first in first out register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:597
    • 提供者:Davood
  1. 8fifo

    0下载:
  2. 可综合的 8x8 fifo VHDL 源代码-Can be integrated 8x8 fifo VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3069
    • 提供者:qaz
  1. fifi

    0下载:
  2. FIFO code written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:11410
    • 提供者:Harini
  1. THS1206

    0下载:
  2. FPGA来实现数据采集,AD采用TI公司的THS1206,高速并行AD,内含16字FIFO,降低硬件复杂度。-FPGA to realize data acquisition, AD using TI company s THS1206, high-speed parallel AD, containing the 16-character FIFO, to reduce hardware complexity.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-02
    • 文件大小:1427
    • 提供者:LX
  1. fifo

    0下载:
  2. first in first out VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:967
    • 提供者:LXG
  1. caiyang

    0下载:
  2. 种用FPGA 实现对高速A/ D 转换芯片的控制电路,系统以MAX125 为例,详细介绍了含有FIFO 存储器的A/ D 采样控制电路的设计方法,并给出了A/D 采样控制电路的V HDL 源程序和整个采样存储的顶层电路原理图.-Species with FPGA to achieve high-speed A/D conversion chip control circuit, the system as an example to MAX125 details FIFO memory cont
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:338675
    • 提供者:于银
  1. FIFORAM

    0下载:
  2. FIFO RAM 存储器以FIFO形式进行的读取-FIFO RAM
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:331867
    • 提供者:SMILE
  1. FIFO

    0下载:
  2. 一个先入先出FIFO的VHDL实现,程序经过了编译验证。-A FIFO FIFO to achieve the VHDL, verification procedures have been compiled.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:456465
    • 提供者:Robert Shen
  1. yuyincaiji

    0下载:
  2. 语音采集与回放系统源代码:1.为了使读音数据存储的时间更长,速度更快,选用了256K*16Bit的SRAM;2.为了减少单片机的控制复杂度,使用了FPGA来控制SRAM的读写操作,节约了不少单片机的I/O资源;3.为了以后的高速数据存储,本设计中加入了fifo,其位宽及深度可在程序中自由设置,方便灵活。-Speech acquisition and playback system source code: 1. In order to make pronunciation longer data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:804529
    • 提供者:song
  1. Asynchronous_FIFO_v6_1

    0下载:
  2. 详细介绍了异步FIFO的设计方法,以及fpga的仿真波形-Described in detail the design of asynchronous FIFO method and the simulation waveform fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:132970
    • 提供者:wushaojie
  1. fifo_design

    0下载:
  2. 异步fifo的设计,能够很好的的完成,数据的缓冲,内部有ram存储器-The design of asynchronous fifo, Asynchronous fifo design, can be a good completion of the data buffer, internal ram memory
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:1728
    • 提供者:孟霑
  1. async_fifo

    0下载:
  2. 异步fifo 源程序代码 欢迎大家学习 用VHDL语言编写-asy fifo
  3. 所属分类:Com Port

    • 发布日期:2017-04-17
    • 文件大小:213192
    • 提供者:chenxuhui
  1. Altera_FIFO

    0下载:
  2. Altera FIFO的多极级联,实现多个FIFO之间的数据传输。-Altera FIFO multi-polar cascading between multiple FIFO data transmission.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2887
    • 提供者:Robert
  1. afifo_0916

    0下载:
  2. 异步FIFO,使用XILINX产品实现,可以通过改参数来重新修改深度和位宽-Asynchronous FIFO, using the XILINX product realization, you can change parameters to re-modify the depth and Width
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:154834
    • 提供者:范小虎
« 1 2 3 4 5 67 8 9 10 11 ... 14 »
搜珍网 www.dssz.com