CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL USB

搜索资源列表

  1. usbsample

    0下载:
  2. 基于fpga和xinlinx ise的usb端口vhdl程序,希望对你有所帮助!-VHDL program for USB port based fpga and xinlinx ise, wish help for you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:983093
    • 提供者:王萌
  1. ev-usbSIE

    0下载:
  2. ev-usbSIE VHDL编写的USB程序-ev-usbSIE VHDL procedures prepared by the USB
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60892
    • 提供者:蒋谦
  1. usbvhdl

    0下载:
  2. usb 代码 用VHDL编写 方便初学者使用 学习 有什么不明白的 大家可以回复 互相交流-usb using VHDL code to facilitate the preparation of beginners to learn what we do not understand each other can return exchange
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:3661
    • 提供者:和尚
  1. usb_vhdl

    0下载:
  2. the vhdl model of usb. it is very helpful.-vhdl model of the usb. It is very helpful.
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:56285
    • 提供者:nightyboy
  1. usb_funct

    0下载:
  2. USB接口的VHDL源码,支持Verilog HDL程序-USB VHDL source code, supports Verilog HDL procedures
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:230536
    • 提供者:王森
  1. USB11112

    0下载:
  2. USB的vhdl代码,具有很强的指导意义,对FPGA进行usb控制很有帮助!-USB vhdl code, which is of great guiding significance. the FPGA control usb helpful!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:140480
    • 提供者:温暖感
  1. pingpongjiegou

    0下载:
  2. VHDL编译,本程序是从USB GPIF口SRAM传输数据,且形成乒乓结构传输-VHDL compiler, the procedure is GPIF USB port SRAM transmission of data, Structure formation and transmission Table Tennis
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1937
    • 提供者:朱兴旺
  1. Chapter6Sample

    0下载:
  2. Chapter6Sample,FPGA嵌入式开发书籍的源码,其中含有USB控制器的设计 VHDL语言开发-Chapter6Sample, FPGA embedded development books source code, USB controller contains the VHDL Design Development
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:141990
    • 提供者:求知
  1. USB-2.0-source-code-by-VHDL

    0下载:
  2. 实现USB2.0,采用VHDL编写,源代码已按类分好-USB 2.0 source code by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:205920
    • 提供者:zzz
  1. usb

    0下载:
  2. usb的FPGA驱动,合理修改既可以使用-vhdl code,modify can used!
  3. 所属分类:source in ebook

    • 发布日期:2017-11-29
    • 文件大小:230776
    • 提供者:liuzhigang
  1. the-code-of-usb-base-on-USB

    0下载:
  2. 基于FPGA的USB程序用VHDL语言编写-USB program based on FPGA using VHDL language
  3. 所属分类:USB develop

    • 发布日期:2017-11-01
    • 文件大小:16187
    • 提供者:
  1. USB-of-fpga

    0下载:
  2. 一个很好地使用VHDL实现USB源代码,内有C编程界面-USB source code use VHDL
  3. 所属分类:USB develop

    • 发布日期:2017-11-09
    • 文件大小:140430
    • 提供者:皮伟伟
  1. USB

    0下载:
  2. 使用标准VHDL编写的USB协议,可在CPLD或FPGA上实现USB功能。-use VHDL to implement USB protocol, which can be used in CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1314398
    • 提供者:林铎
  1. USB VHDL

    0下载:
  2. Full USB interface fo FPGA CPLD VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2015-05-15
    • 文件大小:6559
    • 提供者:lessberg
  1. usb

    0下载:
  2. usb2.0 vhdl 控制源码 资料可信 完全自编写。-usb2.0 vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:579
    • 提供者:rkl110
  1. usb11_sim_model_latest.tar

    0下载:
  2. VHDL/Verilog implementation
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:98304
    • 提供者:budavarapu
  1. CCD_Array

    0下载:
  2. Interface TCD1209DG with Altera FPGA and transfer image data to PC via USB using USB FX2 Slave FIFO mode, Only FPGA code included.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:3320832
    • 提供者:muralidh
  1. 091495

    0下载:
  2. 自适应滤波器adaptive的vhdl实现的源代码,()
  3. 所属分类:USB编程

    • 发布日期:2018-04-19
    • 文件大小:2048
    • 提供者:qadon
  1. 61132107

    0下载:
  2. SSRAM控制器,vhdl实现并通过验证()
  3. 所属分类:USB编程

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:teihnologf
  1. crwuw

    0下载:
  2. 原创代码,采用VHDL实现的64点均值滤波,实验测试过,效果良好,可轻松修改成任意点数均值滤波,采用了多点滑动运算,减小了()
  3. 所属分类:USB编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:headxt
« 1 2 3 4 5 6 7 89 10 11 »
搜珍网 www.dssz.com