CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Verilog HDL

搜索资源列表

  1. verilog-hdl

    0下载:
  2. verilog hdl quartues-硬件描述语言, 数字系统设计,设计数字系统,灵活方便,更改方便,设计流程时间段
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5296
    • 提供者:陈晨
  1. Verilog-HDL

    0下载:
  2. Verilog HDL 程序设计例程-Verilog HDL program design routines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:114505
    • 提供者:123
  1. Verilog-HDL

    0下载:
  2. 《Verilog HDL数字控制系统设计实例》书中的源代码。-source code of the book "Verilog HDL digital control system design example".
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11925015
    • 提供者:zw
  1. Verilog-HDL-Design

    0下载:
  2. FPGA入门的,云创工作室很好地一本书,主要以XILINX公司的芯片为主!-A very good book from Yunchuang studio for FPGA newer,and this book mainly talks about the verilog HDL and the XILINX FPGA!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14633807
    • 提供者:skystorm
  1. Verilog-HDL

    0下载:
  2. Verilog HDL设计+Modelsim仿真UART-Verilog HDL Designing+ Modelsim UART simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:23972
    • 提供者:WangQunfeng
  1. Verilog-HDL-digital-system-design

    1下载:
  2. Verilog HDL数字系统设计教程,其中对Verilog HDL语言的语法,FPGA的结构及其应用作了详细的讲解-Verilog HDL digital system design introduces the Verilog HDL language and the FPGA function including syntax ,FPGA frame and application and so on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22719541
    • 提供者:tyh
  1. jpeg-codec-in-verilog-HDL

    1下载:
  2. jpeg codec in Verilog HDL.-jpeg Code decoding used by Verilog HDL。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:24880
    • 提供者:jerryzhang
  1. verilog-hdl-135

    0下载:
  2. verilog hdl语言入门书中的135个应用程序-verilog hdl language entry book of 135 applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:161664
    • 提供者:
  1. verilog

    0下载:
  2. verilog HDL 入门学习的源代码。 包括双向语法,计数器,状态机,锁存器,uart等-Introduction to learning verilog HDL source code. Including two-way grammar, counters, state machines, latches, uart, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3319
    • 提供者:鲁东
  1. Verilog-HDL-chuanbing

    0下载:
  2. 用Verilog HDL语言实现并串、串并接口的转换-Using Verilog HDL and string, string, and interface conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:364774
    • 提供者:武晓栋
  1. Verilog-HDL-PPT

    1下载:
  2. Verilog HDL 经典教程夏宇闻老师主讲PPT-The Verilog HDL Classic teach Chengxia Yu Wen speaker teachers PPT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-02
    • 文件大小:644096
    • 提供者:李世鹏
  1. Verilog-HDL-Digital-Design

    0下载:
  2. Verilog HDL 数字设计与综合 夏宇闻-Verilog HDL Digital Design and Xia Wen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:12141572
    • 提供者:梁康
  1. Verilog-HDL-for-beginner

    0下载:
  2. 给初学者使用的Verilog HDL书籍 Verilog HDL扫盲文.pdf-The ebook is written for verilog beginner
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3337757
    • 提供者:bingo
  1. Verilog-HDL

    0下载:
  2. 设计与验证:Verilog HDL(清晰带书签)---学习Verilog HDL的很好的资料,这个PDF清晰还带书签,愿能够帮助你。-this material about Learning Verilog HDL is very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:12792862
    • 提供者:占欣
  1. 1024point-fft--using-verilog-hdl

    0下载:
  2. 1024点快速傅里叶变换,使用verilog hdl硬件描述语言-1024point FFT,using verilog hdl
  3. 所属分类:Algorithm

    • 发布日期:2017-11-22
    • 文件大小:28661
    • 提供者:毋宁
  1. stopwatch-by-verilog-HDL

    1下载:
  2. 一个基于FPGA用verilog HDL 编写的数字秒表已经LED灯的配合-LED lamp with a digital stopwatch has been prepared based on the FPGA using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:807895
    • 提供者:李博
  1. Wang-Jinming-Verilog-HDL--program

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》程序,对于初学者来说很嗨,代码很多,从简到难-Wang Jinming Verilog HDL programming tutorial program
  3. 所属分类:source in ebook

    • 发布日期:2017-11-05
    • 文件大小:189175
    • 提供者:张阳
  1. verilog-HDL--LCD-display-

    0下载:
  2. 用verilog HDL 实现LCD显示-using verilog HDL to LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:2092
    • 提供者:gx
  1. VERILOG-HDL-Study

    0下载:
  2. verilog HDL语言学习,讲解十分详细,初学提高都有帮助-Verilog HDL language learning, on the very detailed, beginner improve have helped
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4170035
    • 提供者:fan
  1. Verilog-HDL-Synthesis-=

    0下载:
  2. Verilog HDL Synthesis A Practical Primer-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:5036866
    • 提供者:吴朕
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com