CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Verilog generator

搜索资源列表

  1. sinw

    0下载:
  2. 用verilog写的正弦波发生器,QuartusⅡ环境-Sine wave generator written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521443
    • 提供者:杀虫剂
  1. sin_generate

    0下载:
  2. verilog 实现 dds正弦 函数信号发生器 verilog 实现 dds正弦 函数信号发生器-verilog achieve dds sine function signal generator verilog verilog dds sine function signal generator the dds sine function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:425984
    • 提供者:陈占田
  1. address_gen

    0下载:
  2. 基于FPGA使用Verilog语言构成的DDS信号发生器-DDS signal generator based on FPGA using Verilog language constitutes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:459382
    • 提供者:gaoyang
  1. signal_generator

    0下载:
  2. 信号发生器 可以通过该程序产生对应的波形 用Verilog语言编写实现 希望能对大家有帮助-The signal generator can generate through the program corresponding to the waveform using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:429151
    • 提供者:于梦磊
  1. hdl

    0下载:
  2. Verilog code for the PRBS generator, checker and analyzer.-Verilog code for the PRBS generator, checker and analyzer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:5486
    • 提供者:rocketsingh
  1. manchester_verilog

    0下载:
  2. 曼彻斯特码生成器(Verilog源代码),可以在FPGA上进行验证。-Manchester code generator (Verilog source code), and can be verified on a FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:10619
    • 提供者:zsan
  1. LSY_wave

    0下载:
  2. 比赛时写的李萨如波形发生器的代码,用verilog写的,里面集成数据采集和DDS波形发生。-Game when writing the the Lissajous waveform generator code, written in verilog the inside integrated data acquisition and DDS waveform generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:7559942
    • 提供者:吕俊
  1. fashenqi(shunxu)

    0下载:
  2. Verilog 这个程序是一个关于顺序形成的发生器,希望大家多多批评指正,可用之人能够用得到-Verilog This program is a sequential formation generator, and hope a lot of criticism and the person available to get
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:2760
    • 提供者:wuliang
  1. Homework4

    0下载:
  2. 4x4矩阵乘法,使用pipeline结构,可以在AutoESL中综合出Verilog,并在System Generator中测试通过。-Matrix multification in systolic way for AutoESL synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:2210759
    • 提供者:liu
  1. cshiyan2012

    2下载:
  2. 基于EDA软件平台上,用硬件描述语言verilog设计完成分频器、计数器、串行移位输出器、伪码发生器、QPSK I/Q调制器、QPSK I/Q解调器,基于选项法中频调制器,再将各个模块综合起来组成一个完整系统;并用quartusII软件对其进行仿真验证。-EDA software platform based on the hardware descr iption language verilog design complete shift of the frequency divider,
  3. 所属分类:STL

    • 发布日期:2014-01-27
    • 文件大小:1906064
    • 提供者:赵旋
  1. uart

    0下载:
  2. 串口通信控制器的Verilog实现。包含4个模块:顶层模块、波特率发生器模块、发送模块和接收模块-The serial communication controller Verilog. Contains four modules: the top-level module, the baud rate generator module, transmitting module and receiver module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:3396
    • 提供者:王帆淼
  1. liushuideng

    0下载:
  2. 利用system generator生成的流水灯verilog代码,matlab的model文件也在其中。在spartan3A上验证通过-The verilog code system generator to generate light water Matlab model file also. Spartan3A on validation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:506050
    • 提供者:侯松岩
  1. xuliefashengqi

    0下载:
  2. 序列发生器和检测器的verilog代码编写。-Sequence generator and sequence detector realization with verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-07
    • 文件大小:1462
    • 提供者:yanzizu
  1. signal_generator

    0下载:
  2. 基于FPGA的信号发生器的verilog实现-FPGA-based signal generator verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:2614
    • 提供者:Atera
  1. DDS_AD9854_For_FPGA

    0下载:
  2. DDS_AD9854_for FPGA ,FPGA开发下的verilog源代码,信号发生器-DDS_AD9854_for FPGA, verilog source code, signal generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:201049
    • 提供者:胡彤
  1. final_sawtooth

    0下载:
  2. sawtooth generator in verilog
  3. 所属分类:Other systems

    • 发布日期:2017-12-07
    • 文件大小:1024
    • 提供者:sreenath
  1. pnsequence.v

    0下载:
  2. pn sequence generator in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:871
    • 提供者:pavanteja
  1. zhengxian

    0下载:
  2. verilog的正弦函数信号发生器的设计。可生成不同的正弦函数信号波形。-verilog sine function signal generator design. Can generate a different signal waveform of the sine function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:919552
    • 提供者:lou
  1. UART-DISPLAY

    0下载:
  2. lcd 显示,Verilog语言,串口接收数据,并在LCD中显示,波特率9600,包括主文件,LCD控制文件,波特率发生文件-lcd display Verilog language, serial port to receive data, and the LCD display, baud rate of 9600, including the master file, the LCD control file, the baud rate generator file
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:533297
    • 提供者:jsquare
  1. fpga_Uart

    0下载:
  2. 串口通信控制器 verilog实现含波特率发生模块,发送、接收模块程序以及xilinx所有工程文件-The serial communication controller verilog containing the baud rate generator module, send, receive module program xilinx all project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:648114
    • 提供者:bambod
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com