CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - altera

搜索资源列表

  1. Project

    0下载:
  2. 熟悉Altera IP的产生和实现方法定制一个8B10B编码器- 8B10B codeer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:160737
    • 提供者:寻宝人
  1. source

    0下载:
  2. ModelSim对Altera设计进行功能仿真的简单操作步骤-modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:341488
    • 提供者:寻宝人
  1. FHT_example

    0下载:
  2. Altera FPGACPLD FHT_example design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:229571
    • 提供者:寻宝人
  1. Example-s2-1

    0下载:
  2. 其中的EPLL、MY_DQ和MY_DQS模块是用Altera的IP产生器MegaWizard产生的-EPLL MY_DQ MY_DQS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:25060
    • 提供者:寻宝人
  1. AlteraCycloneIIEP2C8

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3656585
    • 提供者:吴勇
  1. Codingexperimentcrcdcord

    0下载:
  2. 编码实验Your use of Altera Corporation s design tools, logic functions and other software and tools, and its AMPP partner logic -Coding experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:135029
    • 提供者:吕旭
  1. skdfkjsah

    0下载:
  2. ddrram控制器,是从Altera的LPM经本人写改完善后弄到的-ddrram
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:29768
    • 提供者:王菲
  1. intro_40nm_xcvr_portfolio_finaledit_cn

    0下载:
  2. Altera的40-nm收发器系列产品, 发展趋势和挑战
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:1008672
    • 提供者:Thomas
  1. c258894e-9131-4d6c-87d7-a72d573d8d17

    0下载:
  2. Altera 的CycloneII 系列中的ep20系列的protel周边电路,可以制版-Altera' s CycloneII series protel series ep20 peripheral circuits, you can plate
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:142224
    • 提供者:chx
  1. CGI

    0下载:
  2. CGI程序实例,运行于Altera DE II开发板的uclinux操作系统上,控制开发板板载资源1-CGI instance, running on the Altera DE II development board of the uclinux operating system, control development board onboard resources 1
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:8625
    • 提供者:lijun
  1. Cytech

    0下载:
  2. Cytech(骏龙科技有限公司)继成功推出高效率、低发热、轻重量的矿灯照明解决方案和高性能无线解决方案之后,最近又成功开发出2.4GHz立体声无线音箱、2.4"TFT液晶显示屏+SD卡插槽的MP4方案、以及功耗低、重量轻、体积小和图像逼真的视像微显眼镜解决方案。 骏龙科技有限公司创办于1998年,是香港及中国电子元件行业之中发展最迅速的分销商之一。公司总部设于香港,另有13个地区办公室遍及中国内地,包括北京、深圳和上海。骏龙科技有限公司分销超过25种美国高科技半导体产品品牌,包括ALTER
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:378094
    • 提供者:yangyicai
  1. fft_rtl

    1下载:
  2. rtl实现的fft变换,经硬件测试其功能与altera的fftip核相近-fft transform based on rtl design
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-28
    • 文件大小:617604
    • 提供者:王轶翔
  1. CPU

    1下载:
  2. 实现简单CPU功能的源码,可以实现加减乘除和移位功能,VHDL代码,程序运行在MAX PULS和Quartua上。-The purpose of this project is to design and simulate a parallel output controller (POC) which acts an interface between system bus and printer. The Altera’s Maxplus Ⅱ EDA tool is recommended
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:4490297
    • 提供者:灿烂六月
  1. SOPC_Builder

    0下载:
  2. SOPC架构建立实例,针对altera公司的DE2开发板,其他开发系统也可以用-based FPGA , SOPC construct experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2323678
    • 提供者:zhaoqian
  1. Source

    0下载:
  2. 15个Altera的IP的源码,很不错的,学习的好资料,-15 Altera' s IP source, it is good to learn good information, ha ha
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:49390
    • 提供者:sun
  1. sourcefile

    0下载:
  2. 在Altera公司的Cyclone系列FPGA开发板上试验的按键中断程序,希望对那些学习中断开发的初学者有帮助。 pio_key.v是verilog编写的按键中断程序,对应四个按键,按其中任何一个键都可以发送一个中断; keyint.c是Nios中编写的C程序,用于检测按键的中断,如果检测到中断,会检测是哪个按键按下,从而执行相应的程序! -In Altera' s Cyclone series FPGA development board interrupt key test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2754
    • 提供者:王陶
  1. Code1

    0下载:
  2. This is a code for wireless point-to-point communication using Altera FPGA and TI s CC2500 transceiver-This is a code for wireless point-to-point communication using Altera FPGA and TI s CC2500 transceiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4220
    • 提供者:Mahadevan
  1. PWMAvalonExample

    0下载:
  2. PWM generation,Altera standard function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2031
    • 提供者:XiangHao
  1. compact_config

    0下载:
  2. Altera provides a number of reference designs that show efficient solutions for common design problems. Altera® reference designs can be used to develop new solutions and innovative products, improve your understanding of Altera product capabilit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:611289
    • 提供者:Artur Nikolajev
  1. 80sp1_DSP

    0下载:
  2. Altera 的DSP Builder 8.0的破解文件-Crack files for dsp builder 8.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:186650
    • 提供者:luo shen
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com