CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - bcd

搜索资源列表

  1. digital-frequency

    0下载:
  2. 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1265776
    • 提供者:multidecoder
  1. bcd

    0下载:
  2. 在单片机开发环境中一般会用到二进制转bcd的功能。-binary to bcd,when you use binary you can make it bcd.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2944
    • 提供者:朱维新
  1. Bintograyconverter

    0下载:
  2. Bin to gray converter Input (DATA_IN) width : 4 Enable (EN) active : high Bin to Bcd converter Input (data_in) width : 4 Output (data_out) width : 8 Enable (EN) active : high -Bin to gray converter -- Input (D
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:887
    • 提供者:haodiangei
  1. verilog_program

    0下载:
  2. 各种初学Verilog者需要练习的实例代码集锦,包含加法器,BCD计数器,2分频,交通灯等等!-Beginners need to practice a variety of examples of Verilog code highlights, including the adder, BCD counters, 2 frequency, traffic lights and more!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:32363
    • 提供者:lyh
  1. bcd_to_binary

    0下载:
  2. bcd to binary verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4346
    • 提供者:hyuma
  1. BCD

    0下载:
  2. 模为 60 的 BCD码加法计数器,采用verilog语言编写。-BCD code module for the addition of 60 counters, using verilog language.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:634
    • 提供者:kevin
  1. 16-BCD

    1下载:
  2. 汇编语言实验设计关于16进制数转换为BCD码形式-Assembly language, the number of experimental design on the 16 hexadecimal form converted to BCD code
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:1102
    • 提供者:TTT
  1. B_to_D

    0下载:
  2. 二进制转BCD码程序,可作为7段数码管显示的编解码程序,VHDL编写的FPGA工程。-BCD binary code change process, as 7 digital display codec process, VHDL FPGA project prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009365
    • 提供者:程光
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. bcd

    0下载:
  2. 能够实现2个bcd码相乘,并未把结果存到已知的存储单元中-Bcd code to achieve two multiply, did not save the results to the known storage unit
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:4119
    • 提供者:ljn
  1. bin2bcd

    0下载:
  2. 用来将二进制的信号转化成BCD码形式的信号,用来在数码管上显示相应的数字。-To the binary signal into BCD code in the form of signals, used in the digital display the corresponding number.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252502
    • 提供者:da
  1. 2BCD

    0下载:
  2. 二进制转BCD码 verilog hdl Quartus II 9.0sp2 编译通过 所有的文件-Binary to BCD code verilog hdl Quartus II 9.0sp2 compile all the documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:286916
    • 提供者:王冠
  1. Counter

    1下载:
  2. 计数器 QuartusⅡ 10进制计数器 CLKIN为时钟输入端,CLR为清零端,Y[3..0]为四位二进制输出(BCD 码形式),CLKOUT为10进制计数器进位输出端 -Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29703
    • 提供者:duopk
  1. bcd_to_7segmentos

    0下载:
  2. bcd to 7 segments display tested on xboard xilinx, all code developed on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:602514
    • 提供者:carlos
  1. 999jisq

    0下载:
  2. 一个能从0~999计数的 bcd码数码管 电路-A count from 0 to 999 digital control circuit bcd code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:85874
    • 提供者:黄国猛
  1. bcd

    0下载:
  2. WINDOWS 7 和 XP启动BCD编辑工具!-WINDOWS 7 and XP start BCD editor!
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:155552
    • 提供者:th1nk
  1. vhdl

    1下载:
  2. 用VHDL语言实现的二进制到BCD码和格雷码的转换,程序通读性比较好。-VHDL language with the binary code and Gray code to BCD conversion, the program read through is better.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1142
    • 提供者:周波
  1. bcd

    0下载:
  2. 三字节转BCD双字节十六进制整数转换成双字节BCD码整数.txt-Three-byte transfer byte BCD integer into a double-byte hexadecimal integer BCD code. Txt
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:631
    • 提供者:zxs
  1. BCD

    0下载:
  2. ROM vhdl for binary to BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:616
    • 提供者:K1000
  1. 02-BCD-Conv

    0下载:
  2. 关于单片机bcd的应用程序,希望对大家有帮助!-Bcd on the MCU application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:18852
    • 提供者:杨镇宁
« 1 2 3 4 5 67 8 9 10 11 ... 46 »
搜珍网 www.dssz.com