CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - bcd

搜索资源列表

  1. BCD-adder

    0下载:
  2. 用VHDL语言设计一个BCD码加法器,输入A[3..0]、B[3..0],输出为SUM[4..0]。-bcd adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2687
    • 提供者:王小雨
  1. prog-16-Hex-to-BCD

    0下载:
  2. 8051 source code to convert Hex to BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:627569
    • 提供者:Parth Borda
  1. BCD-autoplus

    0下载:
  2. 利用Verilog HDL语言,编写一个2为BCD码加法器程序,并在DE2板是实现功能的运用。-Auto plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:975036
    • 提供者:HTJ_L
  1. BCD-xiangcheng---huibian

    0下载:
  2. BCD码相乘的程序,可以帮你实现BCD的相乘,程序写得很不错哦-BCD multiplication procedures, can help you achieve BCD multiplication, procedures very good oh
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:532
    • 提供者:zhangtian
  1. BCD

    0下载:
  2. 用汇编语言实现3位BCD码计算器功能,机器语言,存在缺陷,内存不够。-3 BCD code calculator function in assembly language, machine language, there are defects, not enough memory.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-13
    • 文件大小:2066
    • 提供者:吕彬
  1. BCD_to_7SEG

    0下载:
  2. BCD to 7-segment decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:722
    • 提供者:Eka
  1. bcd

    0下载:
  2. 函数名称: void BcdToAsc(u8 *Dest,u8 *Src,u32 Len) 函数功能: 将压缩BCD码转换为ascii码 入口参数: 1.ascii码地址 2.压缩BCD数组地址 3.Bcd码字节个数 返 回 值: 无 相关调用: 备 注: Dest地址为Len的两倍 -Function name : void BcdToAsc (u8* Dest, u8* Src, u32 Len) Function: compressed BCD to ascii c
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-24
    • 文件大小:3562
    • 提供者:yhg
  1. Double-byte-adder-BCD-code

    0下载:
  2. 将以片内RAM 30H 为起始地址的双字节BCD码 30H和40H为起始地址的双字节BCD码相加,结果放在50H和51H中,程序结束-Will be in RAM30H for the starting address of the double byte BCD code 30H and 40H for the starting address of the double byte BCD code phase, results in 50H and 51H, end of program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:41920
    • 提供者:刘智平
  1. dec-to-bcd

    0下载:
  2. 若在内存的输入缓冲区ASCBUF中,已有若干个用ASCII码表示的十进制数码.要求把它们转换为相应的BCD码,且把两个相邻存储单元的十进制数码的BCD码合并在一个存储单元中,且地址高的放在前四位,存放在BCDBUF区域。-dec to BCD code
  3. 所属分类:OS Develop

    • 发布日期:2017-03-26
    • 文件大小:9969
    • 提供者:dangligedang
  1. BCD

    0下载:
  2. 输入4位二进制数,8位LED显示转换后的BCD码-Enter the 4-bit binary number, eight LED display the converted BCD code
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:129844
    • 提供者:卫烟
  1. binary-to-BCD-code-converter

    0下载:
  2. 4位二进制到BCD码转换器 经验证没有错误 在quartus 9.0 的环境下运行 -The four binary to BCD code converter proven there are no errors in the running quartus 9.0 environment
  3. 所属分类:SCM

    • 发布日期:2017-11-01
    • 文件大小:204081
    • 提供者:唐晓敏
  1. bcd

    0下载:
  2. 使用此指令可以将33位整数转换成BCD码,或者相反。-Use this command to convert the 33-bit integer to BCD, or vice versa.
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:2936
    • 提供者:李阳
  1. BCD-youxianbianma

    0下载:
  2. 优先编码器,通过VHDL语言实现BCD优先编码的功能-Priority encoder BCD priority encoder function through VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:693
    • 提供者:victor
  1. BCD-counter

    4下载:
  2. 一个2位的BCD码十进制加法计数器电路,输入为时钟信号CLK,进位 输入信号CIN,每个BCD码十进制加法计数器的输出信号为D、C、B、A和进位输出信号COUT,输入时钟信号CLK用固定时钟,进位输入信号CIN. -A 2-bit BCD code decimal adder counter circuit input as the clock signal CLK, a carry input signal CIN, D, C, B, A, and the carry output s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:926
    • 提供者:victor
  1. BCD

    0下载:
  2. 举例说明BCD数加法、减法过程,及如何求最大数,帮助理解进位位的作业。-Illustrate the BCD number adder, the subtraction process, and how to find the maximum number of jobs to help understand the carry bit.
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:795
    • 提供者:wang
  1. LAB-4---ASCII---BCD---HEX

    0下载:
  2. ASCII - BCD - HEX. this program convert Ascii to bcd or hex format using asm for microprocesors 8086
  3. 所属分类:assembly language

    • 发布日期:2017-11-05
    • 文件大小:2861
    • 提供者:Dmitry
  1. bcd-decimal

    0下载:
  2. bcd to decimal verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:150389
    • 提供者:Akbas
  1. bcd

    0下载:
  2. 十进制转换为BCD码,可以用于数字钟的设计,及其涉及到LED显示的程序中去,是VHDL的-Converted to decimal BCD code, can be used in the design of the digital clock, LED display program involves VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:904
    • 提供者:sherry
  1. BCD

    0下载:
  2. Verilog hdl编写的二进制转BCD码程序-BCD binary switch program written in Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:174970
    • 提供者:
  1. BCD

    0下载:
  2. 利用Verilog HDL语言实现BCD码的加法-Using Verilog HDL language implementation of BCD addition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:40522
    • 提供者:姚远
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 46 »
搜珍网 www.dssz.com