CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - buffer in vhdl

搜索资源列表

  1. ram

    0下载:
  2. 本原代码中利用VHDL语言编写了RAM、FIFO、ROM等常用的存储和缓冲部件,完全的代码在ALTERA的FPGA上已经通过仿真测试,保证可用.-primitive code using VHDL prepared RAM, FIFO, ROM, and other commonly used storage and buffer components, complete code in the Altera FPGA simulation test has been passed to ens
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2661
    • 提供者:nick
  1. Program3

    1下载:
  2. 用 vhdl 语言设计 8 位数码扫描显示电路,显示输出数据直接在程序中给出。增加 8 个 4 位锁存器作为输出显示数据缓冲器,由外部输入8个待显示的十六进制数。-Design with vhdl language display 8-bit digital scanning circuit, display output data are given directly in the program. Increased eight 4-bit latch display data buffer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:834
    • 提供者:釉雪Dreamer
  1. MP3-coder

    0下载:
  2. In this design, it is assumed that a buffer sized as 1024x8 bits provides main data including scale factors and Huffman code bits to Huffman decoder. Also, it is assumed that a memory with 1024x8 bits is ready for each component to write or read t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:37356
    • 提供者:睿宸
  1. VmodCAM_Ref_HD Demo_13

    0下载:
  2. This project has dependencies in the 'digilent' VHDL library. For your convenience a local copy of these dependencies are included in the remote_sources directory. The VmodCAM_Ref_HD demo project was built around an Atlys+VmodCAM setup. The proj
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:13762560
    • 提供者:domnish
« 1 2»
搜珍网 www.dssz.com