CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - can verilog

搜索资源列表

  1. FIFO_Buffer

    0下载:
  2. Verilog的FIFO源代码,可综合,并以运用到具体工程中-Verilog source code of the FIFO can be integrated and applied to specific projects
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:585
    • 提供者:david
  1. stopwatch

    0下载:
  2. The program is written in verilog to accomplish functions of a stopwatch. It can be implemented in Xilinx FPGA spartan 3 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:2373
    • 提供者:flyingwings
  1. DE2_NIOS_HOST_MOUSE_VGA

    2下载:
  2. 在DE2开发板上实现的VGA输出游戏。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。把DE2板和显示器键盘连起来即可使用。-Development in the DE2 board game to achieve the VGA output. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1626672
    • 提供者:符玉襄
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. DDS

    1下载:
  2. 基于Verilog的dds设计,已经经过调试,可直接使用-Dds of Verilog-based design, has been testing can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2041510
    • 提供者:郭帅
  1. lms

    2下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-11
    • 文件大小:1304
    • 提供者:onion
  1. mc8051_MYdemo

    0下载:
  2. 51IP核一些资料, 很好可以根据自己的需要进行定制,方便自己设计。-51IP Nuclear some information, well you can customize according to their own needs to facilitate own design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5438415
    • 提供者:李小虎
  1. DDS_FINAL

    0下载:
  2. My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wave and saw wave with different fre
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:436975
    • 提供者:Raju Kumar
  1. DS1302

    1下载:
  2. 本代码是控制DS1302的VHDL代码,浅显易懂,方便修改,注意看data sheet,保证时钟和各个延迟满足要求即可-This code is to control the DS1302' s VHDL code, easy to understand, easy changes, note the data sheet, ensure the clock and can meet the requirements of the various delays
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2151
    • 提供者:kaishi
  1. GSM_DDC

    0下载:
  2. GSM中数字下变频器的matlab辅助设计,并可以采用matlab生成verilog代码。-GSM digital down converter in the matlab-aided design, and can be used matlab generate verilog code.
  3. 所属分类:3G develop

    • 发布日期:2017-03-29
    • 文件大小:314033
    • 提供者:张勇奇
  1. mul_fft_96bit

    0下载:
  2. 基于Fermat数变换的大数相乘运算的Verilog实现,可应用于RSA加法芯片中。-Fermat number transform based on multiplying large numbers operations Verilog implementation, can be applied to RSA chip.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:38986
    • 提供者:张勇奇
  1. DecoderAudio

    1下载:
  2. 本程序为SDI的音视频分离Verilog程序,信号通过分离后,可以分离出视频和音频信号。-This procedure for the separation of SDI audio and video Verilog program, the signal after the separation, can be isolated video and audio signals.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-20
    • 文件大小:2442
    • 提供者:邢占鹏
  1. use_3_shoft

    0下载:
  2. SHA-1的verilog程序,经过优化的了,希望可以对大家有帮助-SHA-1 of the verilog program, optimized, and hope that we can help you
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-03
    • 文件大小:1316019
    • 提供者:韩子瑜
  1. I2C

    0下载:
  2. Verilog实现的I2C协议,直接在ISE下打开就可以-Verilog implementation I2C protocol to open directly in the ISE can be
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:212626
    • 提供者:Roy
  1. UART

    0下载:
  2. Verilog实现的UART程序,用ISE打开工程文件即可-Verilog implementation UART program, open the project file with the ISE can be
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:22150
    • 提供者:Roy
  1. USB

    0下载:
  2. Verilog实现的USB程序,用ISE打开工程文件即可-Verilog implementation USB program, open the project file with the ISE can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:140249
    • 提供者:Roy
  1. vga

    0下载:
  2. Verilog实现的VGA程序,用ISE打开工程文件即可-Verilog implementation VGA program, open the project file with the ISE can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:128944
    • 提供者:Roy
  1. mips

    0下载:
  2. 使用verilog設計的MIPS處理器,mips處理機的模擬且可合成驗証-MIPS processor using the verilog design, mips processor synthesis of analog and can be verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4081
    • 提供者:張日
  1. ECC

    0下载:
  2. 我整理的ECC加密算法,源码和C实现的理论指导,有这个可以做出ECC加密算法-I am finishing ECC encryption algorithm, source and C to achieve the theoretical guidance, it can make ECC encryption algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:831856
    • 提供者:oliver
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com