CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - can verilog

搜索资源列表

  1. FA161_LCD_display

    0下载:
  2. 联华众科FA161的开发板上实现LCD显示的一个工程文件,编程语言Verilog。可以在LCD上显示按键值。-Lianhua Zhongke FA161 development board LCD display, a project file, programming languages ​ ​ Verilog. The key values ​ ​ can be displayed on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:535626
    • 提供者:冬瓜
  1. iic_func_module

    0下载:
  2. 基于verilog的对eeprom的读写,该eeprom是基于I2C的读取,里面对时序的理解比较独特,大家可以自己揣摩-Based verilog read and write to eeprom, the eeprom is based I2C read inside relatively unique understanding of the timing, we can try to figure out
  3. 所属分类:Other systems

    • 发布日期:2014-11-19
    • 文件大小:7859200
    • 提供者:gzdy
  1. can_acf

    0下载:
  2. can ctrl sja1000 compatible written in verilog part of the files
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:2809
    • 提供者:pecce
  1. hdl

    1下载:
  2. can ctrl sja1000 compatible written in verilog part of the files
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:45737
    • 提供者:pecce
  1. Frequency-meter

    0下载:
  2. 用Verilog语言编写的频率计,可以精确到1Hz-Frequency counter with the Verilog language, can be accurate to 1Hz
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:13382
    • 提供者:李炜
  1. PIC16C54)

    0下载:
  2. PIC16C54, verilog it can help you. it is a final termproject source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5845577
    • 提供者:mewmew
  1. iic_t

    0下载:
  2. I2C协议的verilog实现,包括配置、读写等操作,只需更改器件地址即可使用。-The I2C protocol verilog implementation, including configuration, read and write operations, simply change the device address can be used.
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:5337867
    • 提供者:张超
  1. fftverilog

    0下载:
  2. 用verilog 写的fft计算的程序,可以作为参考-Use verilog write FFT calculation procedures, can be used as a reference
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:24431
    • 提供者:蔡金峰
  1. hdb3

    0下载:
  2. 该代码使用Verilog HDL语言编写的,能够对HDB3码进行编译,该文件是完整的,可以直接在ISE软件上运行-Compile the code using Verilog HDL language, HDB3 code, the file is complete, you can run directly in the ISE software
  3. 所属分类:Compiler program

    • 发布日期:2017-11-10
    • 文件大小:2328738
    • 提供者:qidong
  1. manchester_verilog

    0下载:
  2. 曼彻斯特码生成器(Verilog源代码),可以在FPGA上进行验证。-Manchester code generator (Verilog source code), and can be verified on a FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:10619
    • 提供者:zsan
  1. Utaxis

    0下载:
  2. 用verilog写的基于cpld的出租车计费器的源源码,需要的参考一下 ,经测试可直接使用。 -Verilog write source based the cpld taxi meter source reference, the test can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-08
    • 文件大小:1286654
    • 提供者:干预
  1. TX_UART_DEMO

    0下载:
  2. verilog写的串口发送程序。。波特率可以自行设计-verilog write serial transmission program. . The baud rate can design their own
  3. 所属分类:MiddleWare

    • 发布日期:2017-12-05
    • 文件大小:433864
    • 提供者:wns
  1. VGA_Proj_Picture

    0下载:
  2. verilog 语言写的VGA程序 可以参考一下-VGA verilog language written programs can reference
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-18
    • 文件大小:822539
    • 提供者:wns
  1. 40fpga

    0下载:
  2. 40个FPGA开发的简单实例,让初学者很好的入门。里面都有详细的程序设计思想说明。-You can use the verilog to realize a counter.
  3. 所属分类:MPI

    • 发布日期:2017-11-28
    • 文件大小:46529
    • 提供者:liu
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. FoopencoreP

    0下载:
  2. 基于FPGA的视觉采集系统的实现现,verilog源码可直接使用。 -Visual acquisition system based on FPGA realization now, verilog source code can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-20
    • 文件大小:2167330
    • 提供者:eda52
  1. IIC

    0下载:
  2. 实现一个i2c总线结构,采用verilog语言实现,主要用于总线结构的学习,大家可以看看!-A i2c bus architecture using verilog language, mainly used in the bus structure learning, we can see!
  3. 所属分类:OS Develop

    • 发布日期:2017-11-06
    • 文件大小:2324
    • 提供者:rsw
  1. DE2_70_NIOS_10_flash

    0下载:
  2. 首先将此Verilog程序下载到DE2-70开发板上后,然后用NiosII软件将任何文件的二进制数据写入到ssram或者sdram等存储器重去,并可以指定起始地址。-First program this Verilog downloaded to the DE2-70 development board, and then the use NiosII software binary data of any file written to memory such as ssram or sdra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:1620637
    • 提供者:boyzone
  1. sim

    0下载:
  2. SPI verilog程序,简单有效,可通过编译-SPI verilog program, simple and effective, can be compiled
  3. 所属分类:MPI

    • 发布日期:2017-11-17
    • 文件大小:43101
    • 提供者:swiki
  1. FPGA_IIC

    1下载:
  2. 这是我编写的FPGA控制IIC的程序,用来配置型号为24C02的EEPROM,已经通过验证。-This is my own Verilog HDL program for IIC control, it can configure the EEPROM named 24C02, and the program have been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2290
    • 提供者:陈波
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com