CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - clock

搜索资源列表

  1. Clock

    0下载:
  2. 时钟- Clock
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:52354
    • 提供者:wangji
  1. clock

    0下载:
  2. 一个关于时钟的小程序,很不错的- About the clock scr ipt, is very good
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:40151
    • 提供者:王剑雨
  1. Digital Clock

    0下载:
  2. 电子时钟显示程序,小试牛刀-electronic clock display program, test their abilities
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:11067
    • 提供者:黄凤仙
  1. digit clock

    0下载:
  2. 用89c2051编的时钟程序.led显示-clock program programed by 89c2051.led display.
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:15552
    • 提供者:钱海良
  1. CLOCK tang.ZIP

    0下载:
  2. 是用API 编写的一个桌面时钟程序。堪称是经典的 API 编程之范例大作! 提供全部源代码!供大家共同进步!-API is prepared in a desktop clock procedures. His is the classic example of API Programming Between big! Full source code! For all the common progress!
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:62561
    • 提供者:唐娟
  1. asm-clock

    0下载:
  2. 汇编语言变得电子时钟程序。适合8086汇编语言的初学者使用。-assembly language becomes electronic clock procedures. 8086 assembly language suitable for beginners to use.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:142919
    • 提供者:lc
  1. clock-ron

    0下载:
  2. 调用2CH号DOS中断读系统时间,把各相关寄存器中的内容转换位十进制ASCULL码输出,设置死循环,以秒为最小单位显示系统时钟。-call 2CH, DOS interrupt reading system, the inclusion of the relevant contents of the register-metric conversion output ASCULL yards, set up death cycle in seconds showing the smallest
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:14081
    • 提供者:李海
  1. LCD-CLOCK+AL

    0下载:
  2. 单片机的LED和时钟程序的BIN和HEX烧写代码,希望对大家有益-SCM LED clock and procedures BIN burning and HEX code, we hope to benefit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2653
    • 提供者:Bruce
  1. clock-delphi

    0下载:
  2. 小闹钟程序,用Delphi实现的,可以调用多种音乐文件,作为闹钟铃声,可以自己编辑一个,感觉不错噢!-small alarm clock program, Delphi, can call a variety of music files, as alarm bell, one can edit their own, I feel pretty good Oh!
  3. 所属分类:Delphi控件源码

    • 发布日期:2008-10-13
    • 文件大小:339433
    • 提供者:Charles
  1. VHDL-Clock

    0下载:
  2. 用VHDL语言写的时钟程序。采用模块化编程。可在EPM7128芯片上下载。编译环境可用Maxplus或Quartus。-write VHDL clock procedures. Modular programming. The EPM7128 chips download. Build environment or Quartus Maxplus available.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4347
    • 提供者:单单
  1. clock model

    0下载:
  2. 时钟模拟汇编算法-compilation clock simulation algorithm
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1564
    • 提供者:陈非
  1. clock-Authorware

    0下载:
  2. 非常简单的用多媒体软件编辑的电子时钟源文件-very simple editing software with multimedia electronic clock source
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4649
    • 提供者:gogo
  1. clock-algorithm

    0下载:
  2. 本代码为页面置换算法中的NRU算法,即改进型Clock置换算法。-code for the page replacement algorithm the invariable algorithm, improved Clock replacement algorithm.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2320
    • 提供者:代怀凯
  1. led-clock

    0下载:
  2. ds1302时钟代码,cpu为AT89C2051,比较好用,就是注释少了点。-ds1302 clock code for the cpu AT89C2051 more convenient, Notes is just does not have.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:4100
    • 提供者:才子
  1. E-clock

    0下载:
  2. 本文提供了制作电子钟的文本文档以及加有实现电子钟的源程序-This paper provides a 10-minute production of electronic text files and increase achieving electronic clock source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:102356
    • 提供者:龙小军
  1. Clock

    0下载:
  2. 一个时钟程序:ActiveX控件的应用与工作原理。ActiveX控件的编写,如何为控件安排属性,方法,事件,属性页,持久性存储,控件如何通知容器自身属性的改变。-a clock : ActiveX controls and the application of principle. ActiveX controls in the preparation, how to control arrangements for the properties, methods, events, proper
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2008-10-13
    • 文件大小:30999
    • 提供者:huangzhifeng
  1. clock-24-12

    0下载:
  2. CLOCK 24 TO 12 PERFECT WORKING
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:19456
    • 提供者:victoryan7
  1. Clock

    0下载:
  2. a clock written by visual c++,which can show the system time ,and it has a great GUI.
  3. 所属分类:Windows编程

    • 发布日期:2018-04-23
    • 文件大小:22670336
    • 提供者:zls1997
  1. CLOCK.c

    0下载:
  2. Kinetis MCU clock configuration
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:1024
    • 提供者:evgenik
  1. 设计一个时钟类Clock

    0下载:
  2. 时钟类clock,用成员函数实现的,多个数据成员,c++语言实现(The clock class clock is implemented by member functions, and multiple data members are implemented in c++ language.)
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:10240
    • 提供者:公子谷雨天
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com