CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpld

搜索资源列表

  1. 40caiji

    0下载:
  2. 本源码是基于CPLD的40路数字信号采集采集一组数据提交单片机处理并受单片机控制-The source is based on the CPLD 40 road Digital Signal Acquisition Acquisition submit one set of data and is subject to deal with single-chip single-chip control
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:574
    • 提供者:张为
  1. quartus-train

    0下载:
  2. 这是一款CPLD的在线调试软件。能够满足用于学习者的一般要求。-This is a debugging software online CPLD. Be able to meet the general requirements for learners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3944394
    • 提供者:xiaolai
  1. jishuqi

    0下载:
  2. CPLD器件中常用编程,对于多进程做了很好的处理,能实现0到59计数,还可自己根据需要调整,实现多种计数功能,从而实现时分秒计时器的功能-CPLD devices commonly used in programming, for many the process to do a very good deal, can achieve 0-59 count, but also need to be adjusted in accordance with their own, achieve a
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:689
    • 提供者:尚明光
  1. example10

    0下载:
  2. :正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoidal signal frequency.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:57371
    • 提供者:周平
  1. MAX7128

    0下载:
  2. 关于epm7128的一些资料,常用的cpld开发新片,也是学习cpld 的首选-good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:56273
    • 提供者:张峰
  1. cam3

    0下载:
  2. 使用CPLD控制摄像头的电子快门。控制范围连续可调1us到20ms。-using CPLD to controll camera s shutter speed
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2618
    • 提供者:szys
  1. bym

    0下载:
  2. 在Max+plusΠ环境下用VHDL语言编写实现基于CPLD的CMI编译码器设计-In Max+ plusΠ environment using VHDL language CPLD-based design of CMI codecs
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:莫迎宾
  1. ISE7.1i_course

    0下载:
  2. ISE7.1i 中文教程 适合xilinx的FPGA/CPLD用户-Chinese ISE7.1i the xilinx tutorial for FPGA/CPLD users
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:277301
    • 提供者:vichie
  1. MAXII

    0下载:
  2. 功耗是前一代CPLD系列的十分之一――MAX II器件的动态功耗很低,所以运行功耗较低。MAX II系列功耗是低成本MAX 3000A系列的十分之一。-Power generation CPLD family of the former one-tenth- MAX II device' s dynamic power consumption is very low, so low-power operation. MAX II family of low-cost, power cons
  3. 所属分类:OS Develop

    • 发布日期:2017-05-03
    • 文件大小:613501
    • 提供者:紫蓝菲
  1. epm1270iopin

    0下载:
  2. 功耗是前一代CPLD系列的十分之一――MAX II器件的动态功耗很低,所以运行功耗较低。MAX II系列功耗是低成本MAX 3000A系列的十分之一。-Power generation CPLD family of the former one-tenth- MAX II device' s dynamic power consumption is very low, so low-power operation. MAX II family of low-cost, power cons
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-02
    • 文件大小:549936
    • 提供者:紫蓝菲
  1. 3serialportcommunicationsource

    0下载:
  2. 3串行口通讯源码,主要应用与DSP与CPLD,上位机等通讯交互-3 serial port communication source, the main application and DSP and CPLD, interactive communications, such as PC
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:4118
    • 提供者:张强
  1. CCD

    0下载:
  2. 本程序通过CPLD不同的波形来控制CCD的驱动-This procedure of the waveform through the CPLD to control the different CCD driver
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:240841
    • 提供者:sunjun
  1. DECODER

    0下载:
  2. decoder3_8实现了FPGA或CPLD 实现3-8译码器的功能-decoder3_8 to achieve the realization of the FPGA or CPLD decoder functions 3-8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:16956
    • 提供者:yigezi
  1. CPLD_Implementation_of_a_Lucky_Dip_Machine

    0下载:
  2. 摸奖桶程序设计 也就是乐透彩票模拟程序 程序为verilogHDL描述 详细请看英文描述-Digital Electronic Design Automation Workshop on Rapid Prototyping using a CPLD Lucky Dip Machine using the Digilent X-Board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3913
    • 提供者:吴德昊
  1. Multi_Debug_Card

    0下载:
  2. 利用Xilinx XC2C128(Xilinx CPLD)制做的台式电脑的Debug卡及原理图,对于不开机的主板,能侦测出CPU到北桥之间具体那根信号线空焊,用于快速维修不开机之主板。-The use of Xilinx XC2C128 (Xilinx CPLD) desktop computer system to do the Debug Card and schematic diagram for the motherboard does not boot, can detect the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1223288
    • 提供者:李德明
  1. VHDL_Hardware_Language

    0下载:
  2. vhdl硬件描述语言,对于进行FPGA、CPLD开发的人来说比较有用。-vhdl hardware descr iption language is fundamental to the FPGA, CPLD development of more useful people.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:7932082
    • 提供者:qiuxiaoxiang
  1. first

    0下载:
  2. verilog 初学者原代码,万事开头难,CPLD也如此,第一个成功的代码测试往往后续学习的信心。需要的朋友请进-beginners verilog source code, everything is hard in the beginning, CPLD is also the case, the first successful test of the code is often the confidence of the follow-up study. Come friend in n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:136709
    • 提供者:keny
  1. Key

    0下载:
  2. key code,for thinx cpld
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:101577
    • 提供者:basiltse
  1. lcd_palace

    0下载:
  2. lcd_palace code,for thinx cpld
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:390105
    • 提供者:张三
  1. Sevencode

    0下载:
  2. Seven code code,for thinx cpld
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:133690
    • 提供者:张三
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com