CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpld fpga

搜索资源列表

  1. sdram_mdl

    0下载:
  2. verilog编写的对SDRAM的控制的源代码,开发FPGA/CPLD-verilog SDRAM write control of the source code, development FPGA/CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2286280
    • 提供者:luoqv
  1. CPLD--fpga

    0下载:
  2. VHDL高级应用技巧 设和深入学习VHDL者-Senior VHDL application skills and in-depth study and VHDL are based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:255219
    • 提供者:qzl001
  1. TAXI

    1下载:
  2. 收录大量的出租车计费系统设计的资料 基于CPLD FPGA的设计抱过设计报告-Contains a large number of taxi billing information system design based on CPLD FPGA design report hug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8976658
    • 提供者:yangvan
  1. LCD12864

    0下载:
  2. lcd12864程序,采用Verilog语言编写,在CPLD开发板上经过验证,正确无误,实现显示英文的功能,希望对大家有用-lcd12864 procedure for the Verilog language, proven in the CPLD development board, correct, implement the function displayed in English, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:250442
    • 提供者:wanghong
  1. Writing_Testbench

    0下载:
  2. 是基于CPLD/FPGA的硬件开发环境测试文本编写的优秀书籍,其语法格式更加接近于C,适合入门者使用-verilog is based on CPLD/FPGA hardware descr iption language, its syntax is closer to C, suitable for beginners to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4266028
    • 提供者:沙洲雪雁
  1. FPGA-CPLD-

    0下载:
  2. FPGA-CPLD-开发流程 详细的讲解开发的过程-FPGA-CPLD-development process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:642230
    • 提供者:pandan
  1. elecfans.com-Altera

    0下载:
  2. 利用QUATUS实现CPLD FPGA 等设计流程,书籍介绍非常详细.希望大家阅读.-Using QUATUS achieve CPLD FPGA design flow, etc., Book is very detailed. Hope you read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21596351
    • 提供者:kahn
  1. cpld

    0下载:
  2. 用FPGA实现简易数字示波器,分频,触发,以及,计数-FPGA implementation using simple digital oscilloscope, frequency, trigger, and, counting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:309047
    • 提供者:段娟
  1. FPGA-CPLD

    0下载:
  2. FPGA/CPLD设计经验分享,数字电路设计中的经典问题分析,很实用。-FPGA/CPLD design experience sharing, digital circuit design of the classic analysis, it is practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:967028
    • 提供者:蒋西
  1. 1

    0下载:
  2. 手把手教你学CPLD/FPGA设计(一)Taught you learn CPLD / FPGA design (a)-Taught you learn CPLD/FPGA design (a)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:402057
    • 提供者:man
  1. 2

    0下载:
  2. 手把手教你学CPLD/FPGA设计(二)Taught you learn CPLD / FPGA Design (B)-Taught you learn CPLD/FPGA Design (B)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:212569
    • 提供者:man
  1. 3

    0下载:
  2. 手把手教你学CPLD/FPGA设计(三)Taught you learn CPLD / FPGA design (c)-Taught you learn CPLD/FPGA design (c)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:488680
    • 提供者:man
  1. 4

    0下载:
  2. 手把手教你学CPLD/FPGA设计(四)Taught you learn CPLD / FPGA Design (D)-Taught you learn CPLD/FPGA Design (D)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:521758
    • 提供者:man
  1. 5

    0下载:
  2. 手把手教你学CPLD/FPGA设计(五)Taught you learn CPLD / FPGA Design (E-Taught you learn CPLD/FPGA Design (E)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:421921
    • 提供者:man
  1. COUNT_ASYNC_4SUB

    0下载:
  2. 4位异步二进制减法计数器,利用QUARTUS II 9的CPLD/FPGA-4bit_count_asyn_sub
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:42502
    • 提供者:gaojiwei
  1. timing

    0下载:
  2. 对输入CPLD/FPGA特定口的前后两个脉冲间隔进行计数并输出-timing for the break of 2 impulses into the certain input of CPLD/FPGA and output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:33296
    • 提供者:孙穹
  1. fifo_vhdl

    0下载:
  2. 基于fpga,cpld的异步FIFO的设计 用VHDL语言进行相关的功能模块设计-Based on fpga, cpld design of asynchronous FIFO associated with VHDL design modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:13204
    • 提供者:站长
  1. CPLD

    0下载:
  2. verilog编写的加减6路可逆计数器,用于FPGA对6路脉冲信号的计数-verilog written addition and subtraction 6 way reversible counter for FPGA on the 6-channel pulse count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:961371
    • 提供者:zhangshaobo
  1. logiclock_makefile

    0下载:
  2. 一个CPLD/FPGA的程序,初学者可以看看,应该有帮助的-Code for CPLD/FPGA,useful !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:12294
    • 提供者:fang
  1. ADc

    0下载:
  2. 与单片机相比,用CPLD/FPGA器件更适合于直接对高速AD采样控制。本实验接口器件为ADC0809,根据ADC0809的工作时序使用CPLD产生该控制信号,CPLD启动AD转换后,得到的数据送至单片机并在PC机及数码管上显示AD转换结果。-Compared with the microcontroller, CPLD/FPGA devices more suitable for direct sampling control of high-speed AD. The interface of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:14539
    • 提供者:chen
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 24 »
搜珍网 www.dssz.com