CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpld fpga

搜索资源列表

  1. Based-VHDL-Fpga-Development

    0下载:
  2. 基于Altera FPGA/CPLD的电子系统设计及工程实践书籍源代码-Book source code of Altera FPGA/CPLD-based electronic system design and engineering practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:49230
    • 提供者:gzq
  1. FPGA

    0下载:
  2. FPGA开发全攻略_工程师创新设计宝典.FPGA 是英文 Field Programmable Gate Array 的缩写,即现场可编程门阵列,它是在 PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的, 既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它是当今数字系统设计的主要硬件 平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在 修改
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9625981
    • 提供者:辛璃
  1. inx-ISE-9.x-fpga

    0下载:
  2. inx ISE 9.x fpga&cpld设计指南 光盘附带内容,很好的工程实例-Design Guide CD-ROM included with the content, good engineering examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5328809
    • 提供者:张燕
  1. FPGACPLD

    0下载:
  2. 描述FPGA与CPLD的区别,用于为初学者提供fpga与cpld的认识学习-Describe the difference between FPGA and CPLD, fpga and cpld understanding of learning for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6708
    • 提供者:王赛男
  1. verilog-example

    0下载:
  2. verilog实例,是开发cpld、fpga时参考程序,很实用-Verilog example, is the development of CPLD, FPGA reference procedures, it is practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:113611
    • 提供者:li
  1. fpga-cpld-tool-make

    0下载:
  2. fpga调试工具制作 具有较好的实用价值-the fpga debugging tools to create good practical value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2271371
    • 提供者:xuxingming
  1. maxv_5m570z_SCH_PCB_PA

    0下载:
  2. Altera公司的Max 5 GX系列的原理图和pcb文件,注意,是capture及pdf格式的原理图和allegro格式的PCB文件,稍微修改修改就可以用在您的设计中,让fpga的硬件设计变得简单和高效。-Altera Max 5 the GX series of schematic and pcb files, note that the capture and pdf format of the schematic and PCB files of the allegro format,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8283767
    • 提供者:yang jinlin
  1. DAC.cmp

    0下载:
  2. 可编程逻辑器件CPLD/FPGA 该实验系统采用了独特的设计技术,使得实验用的可编程CPLD/FPGA 器件的I/O 接口与系统的相关器件采用固定连接-The programmable logic device CPLD/FPGA The experimental system uses a unique design technology, making the experiment with the programmable CPLD/FPGA device I/O interface wi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:6016
    • 提供者:程心
  1. EDAshuzipinlvji

    0下载:
  2. 1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2)能直接用十进制数字显示测得的频率; 3)频率测量范围:1HZ~10KHZ切量程能自动切换; 4)输入信号幅度范围为0.5~5V,要求一起自动适应; 5)测量时间:T〈=1.5S;6)用CPLD/FPGA可编程逻辑器件实现 -1) capable of measuring the frequency of the sine wave, triangle wave, sawtooth wave, rectangular wave p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:882527
    • 提供者:安德森
  1. ct9999

    0下载:
  2. 很经典的数字钟程序CPLD / FPGA ,对初学者很有用。-Classic digital clock program CPLD/FPGA, useful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:572064
    • 提供者:ct
  1. Bspii_masttera

    0下载:
  2. 一种基于CPLD/FPGA的的SPI控制的IP核的实现spi -Based on CPLD/FPGA IP core SPI control realize spi
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-24
    • 文件大小:705
    • 提供者:对称
  1. intro_to_quartus2_chinese

    0下载:
  2. 这个是介绍CPLD/FPGA的开发环境quartus2的文章,对初学者及开发人员应该会有一定的帮助。-This is to introduce the CPLD/FPGA development environment quartus2 in the article, there should be some help for beginners and developers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2068501
    • 提供者:高鹏
  1. seg7

    0下载:
  2. //奥科单片机网 //www.okmcu.net //CPLD&FPGA实例 //奥科单片机助您成功 //本实验就是学习单个数码管的显示-//Bioko microcontroller network// www.okmcu.net// CPLD & FPGA instance// Bioko microcontroller to help you succeed// this experiment is to study a single digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:45043
    • 提供者:麦飞
  1. Xilinx-Downloader

    0下载:
  2. 这是一个Xilinx并口下载线的图纸,可下载Xilinx的CPLD\FPGA,本人试制成功过,并在ISE12.1下载验证。-This is the drawing of a Xilinx parallel port download cable, downloadable Xilinx CPLD \ FPGA, I succeeded in the trial, and in ISE12.1 Download verification.
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:19914
    • 提供者:王先生
  1. UART_RS232(verilog)

    1下载:
  2. /本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:600285
    • 提供者:饕餮小宇
  1. UART_RS232(VHDL)

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:607493
    • 提供者:饕餮小宇
  1. CPLDFPGA--source-code

    0下载:
  2. CPLD、FPGA应用源代码,适合入门级别的同学下载学习-CPLD, FPGA application source code, suitable for entry-level students to download learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:285079
    • 提供者:lw
  1. Introduction-to-CPLD-and-FPGA-Design

    0下载:
  2. Introduction FPGA anf CLPL for new student
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:622944
    • 提供者:Quyet
  1. quartus

    0下载:
  2. Quartus II使用教程,Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性-Quartus II using the tutorial, Quartus II Altera Corporation launched CPLD/FPGA development tool, Quartus II development kit provides a fully integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2343235
    • 提供者:王天广
  1. youxianpaidui

    0下载:
  2. CPLD/FPGA开发常用程序,用CPLD实现可编程逻辑电路,优先排队电路编程实现-CPLD/FPGA development of common procedures, with CPLD programmable logic circuit, priority queuing circuit programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:883
    • 提供者:刘红喜
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 24 »
搜珍网 www.dssz.com