CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpu设计

搜索资源列表

  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. CPU卡的接口特性传输协议与读写程序设计

    2下载:
  2. 介绍ISO7816-4及中国金融集成电路(IC)卡规范所规定的T=0协议的CPU卡与终端之间的接口特性和传输协议,及以C51语言设计的CPU卡复位、下电及读写程序。 -introduced ISO7816-4 and China's financial IC (IC) card, which is the T = 0 agreement the CPU card and the interface between terminals and transmission characte
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9853
    • 提供者:大师傅
  1. riscdesign

    0下载:
  2. 一个非常简单的cpu设计的原代码,是用verilog编写的-a very simple cpu design of the original code, was prepared by the Verilog
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:730451
    • 提供者:wanglei
  1. MON51W_E58

    0下载:
  2. 串口的仿真功能 完全支持 单步不支持 串口中断 用户可以使用 用户不能使用 定时器2 不占用 使用 P0,P2 口仿真 完全仿真 只能用作总线 89C52等嵌入式CPU仿真 支持 不支持 系统使用CPU 双CPU设计 单CPU 占用用户堆栈 2个字节 6个字节 I/O引脚占用 一条(p3.5) 两条(p3.0,p3. -the simulation function fully supports single-step support in
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:6554
    • 提供者:qjh7651
  1. good_CPU

    0下载:
  2. 本代码是在modelsim下运行的模拟8×8位的CPU,执行程度,对深入理解CPU设计和运行原理具有重要意义- This code is simulation 8脳8 position CPU which moves under modelsim, carries out the degree, to thoroughly understood the CPU design and the movement principle have the vital significance
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:20812
    • 提供者:许明
  1. cpuTerminate

    0下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2109040
    • 提供者:宋文强
  1. sdcc-src-2.6.0.tar

    1下载:
  2. sdcc是为51等小型嵌入式cpu设计的c语言编译器支持数种不同类型的cpu-sdcc to 51 other small-scale embedded cpu design c compiler supports several different types of c pu
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:4229518
    • 提供者:liuhua
  1. 1_TO_4

    0下载:
  2. 大型risc处理器设计源代码,这是书中的代码 基于流水线的risc cpu设计-large risc processor design source code, which is based on the code book pipelined design of the risc cpu
  3. 所属分类:STL

    • 发布日期:2008-10-13
    • 文件大小:152998
    • 提供者:zhengqy826
  1. KPCSMII

    1下载:
  2. Xillinx 的8位MCU软核的源代码,可在VertexII上运行,对CPU设计人员有很大参考意义-Xillinx the eight MCU soft-core source code can be run in VertexII. CPU designers to have great reference value
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:343483
    • 提供者:cloud
  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128112
    • 提供者:晶晶
  1. riscmcu

    0下载:
  2. 精简CPU设计,需要的可以下来看看,是VERILOG语言写的-streamlined CPU design, the need to be down look at the language is written in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80466
    • 提供者:陈磊
  1. cpu-leon3-altera-ep1c20

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了在Altera公司的ep1c20 FPGA的位码文件和配置文件,可以直接下载使用!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:687193
    • 提供者:zhao onely
  1. cpu-leon3-altera-ep2s60-ddr

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:753022
    • 提供者:zhao onely
  1. cpu-leon3-xilinx-ml505

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了在Xilinx公司的ml505 FPGA上的位码文件和配置文件,可以直接下载使用!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:391428
    • 提供者:zhao onely
  1. cpu-leon3-gr-pci-xc2v3000

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的PCI位码文件及配置程序。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:417128
    • 提供者:zhao onely
  1. RISC_Core.ZIP

    0下载:
  2. 这是一篇关于8位RISC CPU设计的文章,其中包含了用Verilog语言编写的CPU内核程序
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:340784
    • 提供者:jinzhoulang
  1. 09111021736

    0下载:
  2. 简单的cpu 设计 用hdl语句设计 能实现基本的功能
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:699800
    • 提供者:沈灵
  1. cpu

    0下载:
  2. 本课程设计主要解决用CPLD芯片编程,实现基本模型机中的CPU功能。为方便地址显示灯观测,地址寄存器仍用试验装置上的电路单元,微程序控制器也用实验板上的单元电路提供,CPU的其余各个模块全部写入CPLD中。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:178104
    • 提供者:朱艳云
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. controlunit

    0下载:
  2. CPU设计中的controlunit源码,其中附带了时序仿真。通过Sequencing Logic 产生 control_signals,具体的信号可在controlsignal.mif文件中直接修改。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:328670
    • 提供者:ck
« 1 2 3 4 5 67 8 9 10 11 ... 36 »
搜珍网 www.dssz.com